summary refs log tree commit diff
path: root/pkgs/development/compilers/yosys/default.nix
Commit message (Expand)AuthorAge
* yosys: 0.9+3962 -> 0.9+4052Pavol Rusnak2021-03-31
* yosys: 0.9+3905 -> 0.9+3962Austin Seipp2021-02-25
* yosys: 0.9+3830 -> 0.9+3905Austin Seipp2021-02-13
* yosys: mark broken on darwinSandro Jäckel2021-02-13
* yosys: some more small fixes from review feedbackAustin Seipp2021-01-15
* yosys: cherry-pick some minor fixes (cf d81e4d9f6)Austin Seipp2021-01-15
* yosys: enable loading "out of band" pluginsAustin Seipp2021-01-15
* Revert "Merge pull request #105709 from CajuM/symbiflow"Austin Seipp2021-01-15
* yosys: Added plugins support and various fixesMihai-Drosi Câju2021-01-10
* yosys: 0.9+3715 -> 0.9+3803Austin Seipp2021-01-03
* yosys: 0.9+3675 -> 0.9+3715Austin Seipp2020-12-02
* yosys: 2020.10.20 -> 0.9+3675 (new version scheme)Austin Seipp2020-11-12
* yosys: 2020.08.22 -> 2020.10.20Austin Seipp2020-10-20
* yosys: 2020.07.07 -> 2020.08.22Austin Seipp2020-08-23
* yosys: 2020.06.19 -> 2020.07.07Austin Seipp2020-07-09
* yosys: 2020.06.16 -> 2020.06.19Austin Seipp2020-06-20
* yosys: 2020.06.11 -> 2020.06.16Austin Seipp2020-06-16
* yosys: 2020.03.24 -> 2020.06.11Austin Seipp2020-06-11
* yosys: 2020.03.16 -> 2020.03.24Austin Seipp2020-03-25
* yosys: 2020.02.25 -> 2020.03.16Austin Seipp2020-03-17
* yosys: 2020.02.07 -> 2020.02.25Austin Seipp2020-02-26
* yosys: 2020.02.01 -> 2020.02.07Emily2020-02-08
* yosys: check abc-verifier rev to ensure compatibilityFlorian Klink2020-02-01
* yosys: 2019.10.18 -> 2020.02.01Florian Klink2020-02-01
* yosys: use external abcFlorian Klink2020-02-01
* yosys: don't use srcs array, but single srcFlorian Klink2020-02-01
* yosys: user placeholderFlorian Klink2020-02-01
* yosys: 2019.09.27 -> 2019.10.18Emily2019-10-19
* yosys: enable testsEmily2019-09-28
* yosys: 2019.09.01 -> 2019.09.27Emily2019-09-28
* yosys: 2019.08.22 -> 2019.09.01Emily2019-09-01
* yosys: update Makefile patchesEmily2019-08-23
* yosys: 2019.08.13 -> 2019.08.22Emily2019-08-23
* yosys: 2019.04.23 -> 2019.08.13Emily2019-08-14
* yosys: 2019.04.22 -> 2019.04.23Austin Seipp2019-04-23
* yosys: 2019.04.08 -> 2019.04.22Austin Seipp2019-04-22
* yosys: 2019.02.22 -> 2019.04.08Ben Wolsieffer2019-04-14
* yosys: 2019.01.08 -> 2019.02.22Austin Seipp2019-02-23
* yosys: 2018.10.17 -> 2019.01.08Austin Seipp2019-01-08
* yosys: 2018.09.30 -> 2018.10.17Ben Gamari2018-10-17
* yosys: 2018.08.08 -> 2018.09.30Austin Seipp2018-10-01
* yosys: 2018.05.03 -> 2018.08.08, enable protobuf backendAustin Seipp2018-08-08
* yosys: 2018.03.21 -> 2018.05.03Austin Seipp2018-05-03
* yosys: fix build with clangVincent Laporte2018-04-16
* yosys: 2018.03.07 -> 2018.03.21Austin Seipp2018-03-21
* yosys: 2018.02.14 -> 2018.03.07Austin Seipp2018-03-08
* yosys: 2018.02.04 -> 2018.02.14Austin Seipp2018-02-14
* yosys: 2018.01.10 -> 2018.02.04Austin Seipp2018-02-04
* yosys: 2017.12.06 -> 2018.01.10Austin Seipp2018-01-10
* nixpkgs: yosys 2017.11.05 -> 2017.12.06Austin Seipp2017-12-06