summary refs log tree commit diff
path: root/pkgs/development/compilers
diff options
context:
space:
mode:
authorAustin Seipp <aseipp@pobox.com>2017-11-05 11:24:39 -0600
committerAustin Seipp <aseipp@pobox.com>2017-11-05 12:49:38 -0600
commit9cb5201d3337dabd27938337fe4f5d5e784818cc (patch)
tree74a3ec640bf362ec9e687a8b4d5e1bdd787766cb /pkgs/development/compilers
parent40e47e3fb3cdc520f5485bb5bc4fa7f255312eed (diff)
downloadnixpkgs-9cb5201d3337dabd27938337fe4f5d5e784818cc.tar
nixpkgs-9cb5201d3337dabd27938337fe4f5d5e784818cc.tar.gz
nixpkgs-9cb5201d3337dabd27938337fe4f5d5e784818cc.tar.bz2
nixpkgs-9cb5201d3337dabd27938337fe4f5d5e784818cc.tar.lz
nixpkgs-9cb5201d3337dabd27938337fe4f5d5e784818cc.tar.xz
nixpkgs-9cb5201d3337dabd27938337fe4f5d5e784818cc.tar.zst
nixpkgs-9cb5201d3337dabd27938337fe4f5d5e784818cc.zip
yosys/symbiyosys: 2017.10.16 -> 2017.11.05
Signed-off-by: Austin Seipp <aseipp@pobox.com>
Diffstat (limited to 'pkgs/development/compilers')
-rw-r--r--pkgs/development/compilers/yosys/default.nix10
1 files changed, 5 insertions, 5 deletions
diff --git a/pkgs/development/compilers/yosys/default.nix b/pkgs/development/compilers/yosys/default.nix
index 02f5d3494e6..b1c36f841a4 100644
--- a/pkgs/development/compilers/yosys/default.nix
+++ b/pkgs/development/compilers/yosys/default.nix
@@ -4,21 +4,21 @@
 
 stdenv.mkDerivation rec {
   name = "yosys-${version}";
-  version = "2017.10.16";
+  version = "2017.11.05";
 
   srcs = [
     (fetchFromGitHub {
       owner = "cliffordwolf";
       repo = "yosys";
-      rev = "716dbc92745aa8b41d85a60d50263433d5a79393";
-      sha256 = "0va77my4iddsw6psgjfhfgs0z0z1hpj0l8ipchcl8crpxipxcr77";
+      rev = "4f31cb6daddedcee467d85797d81b79360ce1826";
+      sha256 = "1a5n0g5kpjsy8f99f64w81gkrr450wvffp407r1pddl8pmb0c3r7";
       name = "yosys";
     })
     (fetchFromBitbucket {
       owner = "alanmi";
       repo = "abc";
-      rev = "6283c5d99b06";
-      sha256 = "1mv8r1la4d4r9bk32sl4nq3flyxi8jf2ccaak64j5rz9hirrlpla";
+      rev = "f6838749f234";
+      sha256 = "0n7ywvih958h1c4n7a398a9w3qikhkv885fx5j3y2a0xwqc86m4y";
       name = "yosys-abc";
     })
   ];