diff options
388 files changed, 6084 insertions, 4574 deletions
diff --git a/doc/languages-frameworks/haskell.section.md b/doc/languages-frameworks/haskell.section.md index 944c17a137e..54ba8e4786d 100644 --- a/doc/languages-frameworks/haskell.section.md +++ b/doc/languages-frameworks/haskell.section.md @@ -369,7 +369,7 @@ automatically select the right version of GHC and other build tools to build, test and execute apps in an existing project downloaded from somewhere on the Internet. Pass the `--nix` flag to any `stack` command to do so, e.g. ```shell -git clone --recursive https://github.com/yesodweb/wai +git clone --recurse-submodules https://github.com/yesodweb/wai.git cd wai stack --nix build ``` diff --git a/lib/generators.nix b/lib/generators.nix index 240a19789b5..efe6ea6031d 100644 --- a/lib/generators.nix +++ b/lib/generators.nix @@ -126,6 +126,59 @@ rec { # map input to ini sections mapAttrsToStringsSep "\n" mkSection attrsOfAttrs; + /* Generate a git-config file from an attrset. + * + * It has two major differences from the regular INI format: + * + * 1. values are indented with tabs + * 2. sections can have sub-sections + * + * generators.toGitINI { + * url."ssh://git@github.com/".insteadOf = "https://github.com"; + * user.name = "edolstra"; + * } + * + *> [url "ssh://git@github.com/"] + *> insteadOf = https://github.com/ + *> + *> [user] + *> name = edolstra + */ + toGitINI = attrs: + with builtins; + let + mkSectionName = name: + let + containsQuote = libStr.hasInfix ''"'' name; + sections = libStr.splitString "." name; + section = head sections; + subsections = tail sections; + subsection = concatStringsSep "." subsections; + in if containsQuote || subsections == [ ] then + name + else + ''${section} "${subsection}"''; + + # generation for multiple ini values + mkKeyValue = k: v: + let mkKeyValue = mkKeyValueDefault { } " = " k; + in concatStringsSep "\n" (map (kv: "\t" + mkKeyValue kv) (lib.toList v)); + + # converts { a.b.c = 5; } to { "a.b".c = 5; } for toINI + gitFlattenAttrs = let + recurse = path: value: + if isAttrs value then + lib.mapAttrsToList (name: value: recurse ([ name ] ++ path) value) value + else if length path > 1 then { + ${concatStringsSep "." (lib.reverseList (tail path))}.${head path} = value; + } else { + ${head path} = value; + }; + in attrs: lib.foldl lib.recursiveUpdate { } (lib.flatten (recurse [ ] attrs)); + + toINI_ = toINI { inherit mkKeyValue mkSectionName; }; + in + toINI_ (gitFlattenAttrs attrs); /* Generates JSON from an arbitrary (non-function) value. * For more information see the documentation of the builtin. diff --git a/lib/sources.nix b/lib/sources.nix index 05519c3e392..ed9bce48530 100644 --- a/lib/sources.nix +++ b/lib/sources.nix @@ -63,17 +63,14 @@ rec { # https://nixos.org/nix/manual/#builtin-filterSource # # name: Optional name to use as part of the store path. - # This defaults `src.name` or otherwise `baseNameOf src`. - # We recommend setting `name` whenever `src` is syntactically `./.`. - # Otherwise, you depend on `./.`'s name in the parent directory, - # which can cause inconsistent names, defeating caching. + # This defaults to `src.name` or otherwise `"source"`. # cleanSourceWith = { filter ? _path: _type: true, src, name ? null }: let isFiltered = src ? _isLibCleanSourceWith; origSrc = if isFiltered then src.origSrc else src; filter' = if isFiltered then name: type: filter name type && src.filter name type else filter; - name' = if name != null then name else if isFiltered then src.name else baseNameOf src; + name' = if name != null then name else if isFiltered then src.name else "source"; in { inherit origSrc; filter = filter'; diff --git a/lib/systems/default.nix b/lib/systems/default.nix index 4ca932d1792..210674cc639 100644 --- a/lib/systems/default.nix +++ b/lib/systems/default.nix @@ -65,6 +65,7 @@ rec { freebsd = "FreeBSD"; openbsd = "OpenBSD"; wasi = "Wasi"; + genode = "Genode"; }.${final.parsed.kernel.name} or null; # uname -p diff --git a/lib/systems/doubles.nix b/lib/systems/doubles.nix index 619b0427918..a839b3d3d57 100644 --- a/lib/systems/doubles.nix +++ b/lib/systems/doubles.nix @@ -35,6 +35,8 @@ let "vc4-none" "js-ghcjs" + + "aarch64-genode" "x86_64-genode" ]; allParsed = map parse.mkSystemFromString all; @@ -68,6 +70,7 @@ in { unix = filterDoubles predicates.isUnix; wasi = filterDoubles predicates.isWasi; windows = filterDoubles predicates.isWindows; + genode = filterDoubles predicates.isGenode; embedded = filterDoubles predicates.isNone; diff --git a/lib/systems/inspect.nix b/lib/systems/inspect.nix index 01dcf0787df..90a1fb6d80c 100644 --- a/lib/systems/inspect.nix +++ b/lib/systems/inspect.nix @@ -47,6 +47,7 @@ rec { isMinGW = { kernel = kernels.windows; abi = abis.gnu; }; isWasi = { kernel = kernels.wasi; }; isGhcjs = { kernel = kernels.ghcjs; }; + isGenode = { kernel = kernels.genode; }; isNone = { kernel = kernels.none; }; isAndroid = [ { abi = abis.android; } { abi = abis.androideabi; } ]; diff --git a/lib/systems/parse.nix b/lib/systems/parse.nix index 6a02dbb5152..648e7c27024 100644 --- a/lib/systems/parse.nix +++ b/lib/systems/parse.nix @@ -279,6 +279,7 @@ rec { wasi = { execFormat = wasm; families = { }; }; windows = { execFormat = pe; families = { }; }; ghcjs = { execFormat = unknown; families = { }; }; + genode = { execFormat = elf; families = { }; }; } // { # aliases # 'darwin' is the kernel for all of them. We choose macOS by default. darwin = kernels.macos; @@ -395,6 +396,8 @@ rec { then { cpu = elemAt l 0; vendor = "unknown"; kernel = elemAt l 1; abi = elemAt l 2; } else if (elemAt l 2 == "ghcjs") then { cpu = elemAt l 0; vendor = "unknown"; kernel = elemAt l 2; } + else if hasPrefix "genode" (elemAt l 2) + then { cpu = elemAt l 0; vendor = elemAt l 1; kernel = elemAt l 2; } else throw "Target specification with 3 components is ambiguous"; "4" = { cpu = elemAt l 0; vendor = elemAt l 1; kernel = elemAt l 2; abi = elemAt l 3; }; }.${toString (length l)} diff --git a/lib/tests/systems.nix b/lib/tests/systems.nix index dd2b9575fc2..ea6e337937f 100644 --- a/lib/tests/systems.nix +++ b/lib/tests/systems.nix @@ -12,16 +12,17 @@ let expected = lib.sort lib.lessThan y; }; in with lib.systems.doubles; lib.runTests { - testall = mseteq all (linux ++ darwin ++ freebsd ++ openbsd ++ netbsd ++ illumos ++ wasi ++ windows ++ embedded ++ js); + testall = mseteq all (linux ++ darwin ++ freebsd ++ openbsd ++ netbsd ++ illumos ++ wasi ++ windows ++ embedded ++ js ++ genode); testarm = mseteq arm [ "armv5tel-linux" "armv6l-linux" "armv6l-none" "armv7a-linux" "armv7l-linux" "arm-none" "armv7a-darwin" ]; testi686 = mseteq i686 [ "i686-linux" "i686-freebsd" "i686-netbsd" "i686-openbsd" "i686-cygwin" "i686-windows" "i686-none" "i686-darwin" ]; testmips = mseteq mips [ "mipsel-linux" ]; - testx86_64 = mseteq x86_64 [ "x86_64-linux" "x86_64-darwin" "x86_64-freebsd" "x86_64-openbsd" "x86_64-netbsd" "x86_64-cygwin" "x86_64-solaris" "x86_64-windows" "x86_64-none" ]; + testx86_64 = mseteq x86_64 [ "x86_64-linux" "x86_64-darwin" "x86_64-freebsd" "x86_64-genode" "x86_64-openbsd" "x86_64-netbsd" "x86_64-cygwin" "x86_64-solaris" "x86_64-windows" "x86_64-none" ]; testcygwin = mseteq cygwin [ "i686-cygwin" "x86_64-cygwin" ]; testdarwin = mseteq darwin [ "x86_64-darwin" "i686-darwin" "aarch64-darwin" "armv7a-darwin" ]; testfreebsd = mseteq freebsd [ "i686-freebsd" "x86_64-freebsd" ]; + testgenode = mseteq genode [ "aarch64-genode" "x86_64-genode" ]; testgnu = mseteq gnu (linux /* ++ kfreebsd ++ ... */); testillumos = mseteq illumos [ "x86_64-solaris" ]; testlinux = mseteq linux [ "aarch64-linux" "armv5tel-linux" "armv6l-linux" "armv7a-linux" "armv7l-linux" "i686-linux" "mipsel-linux" "riscv32-linux" "riscv64-linux" "x86_64-linux" "powerpc64le-linux" ]; diff --git a/maintainers/maintainer-list.nix b/maintainers/maintainer-list.nix index 24164631019..916df3b9534 100644 --- a/maintainers/maintainer-list.nix +++ b/maintainers/maintainer-list.nix @@ -5966,6 +5966,12 @@ githubId = 37715; name = "Brian McKenna"; }; + puzzlewolf = { + email = "nixos@nora.pink"; + github = "puzzlewolf"; + githubId = 23097564; + name = "Nora Widdecke"; + }; pxc = { email = "patrick.callahan@latitudeengineering.com"; name = "Patrick Callahan"; @@ -7318,6 +7324,12 @@ githubId = 8547242; name = "Stefan Rohrbacher"; }; + "thelegy" = { + email = "mail+nixos@0jb.de"; + github = "thelegy"; + githubId = 3105057; + name = "Jan Beinke"; + }; thesola10 = { email = "thesola10@bobile.fr"; github = "thesola10"; diff --git a/nixos/doc/manual/installation/installing.xml b/nixos/doc/manual/installation/installing.xml index 4041b4ad163..0dbfb39c32b 100644 --- a/nixos/doc/manual/installation/installing.xml +++ b/nixos/doc/manual/installation/installing.xml @@ -24,8 +24,7 @@ </para> <para> - The NixOS manual is available on virtual console 8 (press Alt+F8 to access) - or by running <command>nixos-help</command>. + The NixOS manual is available by running <command>nixos-help</command>. </para> <para> diff --git a/nixos/doc/manual/release-notes/rl-2003.xml b/nixos/doc/manual/release-notes/rl-2003.xml index e5351519f8d..02d05dec0a2 100644 --- a/nixos/doc/manual/release-notes/rl-2003.xml +++ b/nixos/doc/manual/release-notes/rl-2003.xml @@ -648,6 +648,55 @@ auth required pam_succeed_if.so uid >= 1000 quiet <xref linkend="opt-environment.systemPackages"/>. </para> </listitem> + <listitem> + <para> + <package>nextcloud</package> has been updated to <literal>v18.0.2</literal>. This means + that users from NixOS 19.09 can't upgrade directly since you can only move one version + forward and 19.09 uses <literal>v16.0.8</literal>. + </para> + <para> + To provide a safe upgrade-path and to circumvent similar issues in the future, the following + measures were taken: + <itemizedlist> + <listitem> + <para> + The <package>pkgs.nextcloud</package>-attribute has been removed and replaced with + versioned attributes (currently <package>pkgs.nextcloud17</package> and + <package>pkgs.nextcloud18</package>). With this change major-releases can be backported + without breaking stuff and to make upgrade-paths easier. + </para> + </listitem> + <listitem> + <para> + Existing setups will be detected using + <link linkend="opt-system.stateVersion">system.stateVersion</link>: by default, + <package>nextcloud17</package> will be used, but will raise a warning which notes + that after that deploy it's recommended to update to the latest stable version + (<package>nextcloud18</package>) by declaring the newly introduced setting + <link linkend="opt-services.nextcloud.package">services.nextcloud.package</link>. + </para> + </listitem> + <listitem> + <para> + Users with an overlay (e.g. to use <package>nextcloud</package> at version + <literal>v18</literal> on <literal>19.09</literal>) will get an evaluation error + by default. This is done to ensure that our + <link linkend="opt-services.nextcloud.package">package</link>-option doesn't select an + older version by accident. It's recommended to use <package>pkgs.nextcloud18</package> + or to set <link linkend="opt-services.nextcloud.package">package</link> to + <package>pkgs.nextcloud</package> explicitly. + </para> + </listitem> + </itemizedlist> + </para> + <warning> + <para> + Please note that if you're comming from <literal>19.03</literal> or older, you have + to manually upgrade to <literal>19.09</literal> first to upgrade your server + to Nextcloud v16. + </para> + </warning> + </listitem> </itemizedlist> </section> diff --git a/nixos/doc/manual/release-notes/rl-2009.xml b/nixos/doc/manual/release-notes/rl-2009.xml index 2f61ee5ae2e..a9a6003d1e8 100644 --- a/nixos/doc/manual/release-notes/rl-2009.xml +++ b/nixos/doc/manual/release-notes/rl-2009.xml @@ -96,6 +96,18 @@ <option>systemd.services.supybot.serviceConfig</option>. </para> </listitem> + <listitem> + <para> + The <literal>security.duosec.skey</literal> option, which stored a secret in the + nix store, has been replaced by a new + <link linkend="opt-security.duosec.secretKeyFile">security.duosec.secretKeyFile</link> + option for better security. + </para> + <para> + <literal>security.duosec.ikey</literal> has been renamed to + <link linkend="opt-security.duosec.integrationKey">security.duosec.integrationKey</link>. + </para> + </listitem> </itemizedlist> </section> diff --git a/nixos/lib/make-options-doc/default.nix b/nixos/lib/make-options-doc/default.nix index eee8f612410..e5813d9a3ef 100644 --- a/nixos/lib/make-options-doc/default.nix +++ b/nixos/lib/make-options-doc/default.nix @@ -133,6 +133,7 @@ in { optionsJSON = pkgs.runCommand "options.json" { meta.description = "List of NixOS options in JSON format"; + buildInputs = [ pkgs.brotli ]; } '' # Export list of options in different format. @@ -141,8 +142,11 @@ in { cp ${builtins.toFile "options.json" (builtins.unsafeDiscardStringContext (builtins.toJSON optionsNix))} $dst/options.json + brotli -9 < $dst/options.json > $dst/options.json.br + mkdir -p $out/nix-support echo "file json $dst/options.json" >> $out/nix-support/hydra-build-products + echo "file json-br $dst/options.json.br" >> $out/nix-support/hydra-build-products ''; # */ optionsDocBook = pkgs.runCommand "options-docbook.xml" {} '' diff --git a/nixos/modules/misc/documentation.nix b/nixos/modules/misc/documentation.nix index 7b3f9c0fe9c..7ad4be9a02e 100644 --- a/nixos/modules/misc/documentation.nix +++ b/nixos/modules/misc/documentation.nix @@ -218,9 +218,7 @@ in ++ optionals config.services.xserver.enable [ desktopItem pkgs.nixos-icons ]); services.mingetty.helpLine = mkIf cfg.doc.enable ( - "\nRun `nixos-help` " - + optionalString config.services.nixosManual.showManual "or press <Alt-F${toString config.services.nixosManual.ttyNumber}> " - + "for the NixOS manual." + "\nRun 'nixos-help' for the NixOS manual." ); }) diff --git a/nixos/modules/module-list.nix b/nixos/modules/module-list.nix index ccdc39eecd8..fb8021e848e 100644 --- a/nixos/modules/module-list.nix +++ b/nixos/modules/module-list.nix @@ -469,7 +469,6 @@ ./services/misc/nix-daemon.nix ./services/misc/nix-gc.nix ./services/misc/nix-optimise.nix - ./services/misc/nixos-manual.nix ./services/misc/nix-ssh-serve.nix ./services/misc/novacomd.nix ./services/misc/nzbget.nix @@ -485,7 +484,6 @@ ./services/misc/redmine.nix ./services/misc/rippled.nix ./services/misc/ripple-data-api.nix - ./services/misc/rogue.nix ./services/misc/serviio.nix ./services/misc/safeeyes.nix ./services/misc/sickbeard.nix @@ -823,6 +821,7 @@ ./services/web-apps/documize.nix ./services/web-apps/dokuwiki.nix ./services/web-apps/frab.nix + ./services/web-apps/gerrit.nix ./services/web-apps/gotify-server.nix ./services/web-apps/grocy.nix ./services/web-apps/icingaweb2/icingaweb2.nix diff --git a/nixos/modules/profiles/installation-device.nix b/nixos/modules/profiles/installation-device.nix index 4596e163404..d05c0c50e82 100644 --- a/nixos/modules/profiles/installation-device.nix +++ b/nixos/modules/profiles/installation-device.nix @@ -26,10 +26,6 @@ with lib; # Show the manual. documentation.nixos.enable = mkForce true; - services.nixosManual.showManual = true; - - # Let the user play Rogue on TTY 8 during the installation. - #services.rogue.enable = true; # Use less privileged nixos user users.users.nixos = { diff --git a/nixos/modules/programs/ssmtp.nix b/nixos/modules/programs/ssmtp.nix index f794eac8af0..c7a94739349 100644 --- a/nixos/modules/programs/ssmtp.nix +++ b/nixos/modules/programs/ssmtp.nix @@ -14,8 +14,16 @@ in { imports = [ - (mkRenamedOptionModule [ "networking" "defaultMailServer" ] [ "services" "ssmtp" ]) - (mkRenamedOptionModule [ "services" "ssmtp" "directDelivery" ] [ "services" "ssmtp" "enable" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "directDelivery" ] [ "services" "ssmtp" "enable" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "hostName" ] [ "services" "ssmtp" "hostName" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "domain" ] [ "services" "ssmtp" "domain" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "root" ] [ "services" "ssmtp" "root" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "useTLS" ] [ "services" "ssmtp" "useTLS" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "useSTARTTLS" ] [ "services" "ssmtp" "useSTARTTLS" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "authUser" ] [ "services" "ssmtp" "authUser" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "authPass" ] [ "services" "ssmtp" "authPass" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "authPassFile" ] [ "services" "ssmtp" "authPassFile" ]) + (mkRenamedOptionModule [ "networking" "defaultMailServer" "setSendmail" ] [ "services" "ssmtp" "setSendmail" ]) ]; options = { diff --git a/nixos/modules/security/duosec.nix b/nixos/modules/security/duosec.nix index c686a6861d0..71428b82f5d 100644 --- a/nixos/modules/security/duosec.nix +++ b/nixos/modules/security/duosec.nix @@ -9,8 +9,7 @@ let configFilePam = '' [duo] - ikey=${cfg.ikey} - skey=${cfg.skey} + ikey=${cfg.integrationKey} host=${cfg.host} ${optionalString (cfg.groups != "") ("groups="+cfg.groups)} failmode=${cfg.failmode} @@ -24,26 +23,12 @@ let motd=${boolToStr cfg.motd} accept_env_factor=${boolToStr cfg.acceptEnvFactor} ''; - - loginCfgFile = optionalAttrs cfg.ssh.enable { - "duo/login_duo.conf" = - { source = pkgs.writeText "login_duo.conf" configFileLogin; - mode = "0600"; - user = "sshd"; - }; - }; - - pamCfgFile = optional cfg.pam.enable { - "duo/pam_duo.conf" = - { source = pkgs.writeText "pam_duo.conf" configFilePam; - mode = "0600"; - user = "sshd"; - }; - }; in { imports = [ (mkRenamedOptionModule [ "security" "duosec" "group" ] [ "security" "duosec" "groups" ]) + (mkRenamedOptionModule [ "security" "duosec" "ikey" ] [ "security" "duosec" "integrationKey" ]) + (mkRemovedOptionModule [ "security" "duosec" "skey" ] "The insecure security.duosec.skey option has been replaced by a new security.duosec.secretKeyFile option. Use this new option to store a secure copy of your key instead.") ]; options = { @@ -60,14 +45,18 @@ in description = "If enabled, protect logins with Duo Security using PAM support."; }; - ikey = mkOption { + integrationKey = mkOption { type = types.str; description = "Integration key."; }; - skey = mkOption { - type = types.str; - description = "Secret key."; + secretKeyFile = mkOption { + type = types.path; + default = null; + description = '' + A file containing your secret key. The security of your Duo application is tied to the security of your secret key. + ''; + example = "/run/keys/duo-skey"; }; host = mkOption { @@ -195,21 +184,52 @@ in }; config = mkIf (cfg.ssh.enable || cfg.pam.enable) { - environment.systemPackages = [ pkgs.duo-unix ]; - - security.wrappers.login_duo.source = "${pkgs.duo-unix.out}/bin/login_duo"; - environment.etc = loginCfgFile // pamCfgFile; - - /* If PAM *and* SSH are enabled, then don't do anything special. - If PAM isn't used, set the default SSH-only options. */ - services.openssh.extraConfig = mkIf (cfg.ssh.enable || cfg.pam.enable) ( - if cfg.pam.enable then "UseDNS no" else '' - # Duo Security configuration - ForceCommand ${config.security.wrapperDir}/login_duo - PermitTunnel no - ${optionalString (!cfg.allowTcpForwarding) '' - AllowTcpForwarding no - ''} - ''); + environment.systemPackages = [ pkgs.duo-unix ]; + + security.wrappers.login_duo.source = "${pkgs.duo-unix.out}/bin/login_duo"; + + system.activationScripts = { + login_duo = mkIf cfg.ssh.enable '' + if test -f "${cfg.secretKeyFile}"; then + mkdir -m 0755 -p /etc/duo + + umask 0077 + conf="$(mktemp)" + { + cat ${pkgs.writeText "login_duo.conf" configFileLogin} + printf 'skey = %s\n' "$(cat ${cfg.secretKeyFile})" + } >"$conf" + + chown sshd "$conf" + mv -fT "$conf" /etc/duo/login_duo.conf + fi + ''; + pam_duo = mkIf cfg.pam.enable '' + if test -f "${cfg.secretKeyFile}"; then + mkdir -m 0755 -p /etc/duo + + umask 0077 + conf="$(mktemp)" + { + cat ${pkgs.writeText "login_duo.conf" configFilePam} + printf 'skey = %s\n' "$(cat ${cfg.secretKeyFile})" + } >"$conf" + + mv -fT "$conf" /etc/duo/pam_duo.conf + fi + ''; + }; + + /* If PAM *and* SSH are enabled, then don't do anything special. + If PAM isn't used, set the default SSH-only options. */ + services.openssh.extraConfig = mkIf (cfg.ssh.enable || cfg.pam.enable) ( + if cfg.pam.enable then "UseDNS no" else '' + # Duo Security configuration + ForceCommand ${config.security.wrapperDir}/login_duo + PermitTunnel no + ${optionalString (!cfg.allowTcpForwarding) '' + AllowTcpForwarding no + ''} + ''); }; } diff --git a/nixos/modules/services/databases/mysql.nix b/nixos/modules/services/databases/mysql.nix index 248bf0ebc91..f9e657f5774 100644 --- a/nixos/modules/services/databases/mysql.nix +++ b/nixos/modules/services/databases/mysql.nix @@ -10,16 +10,8 @@ let isMariaDB = lib.getName mysql == lib.getName pkgs.mariadb; - isMysqlAtLeast57 = - (lib.getName mysql == lib.getName pkgs.mysql57) - && (builtins.compareVersions mysql.version "5.7" >= 0); - mysqldOptions = "--user=${cfg.user} --datadir=${cfg.dataDir} --basedir=${mysql}"; - # For MySQL 5.7+, --insecure creates the root user without password - # (earlier versions and MariaDB do this by default). - installOptions = - "${mysqldOptions} ${lib.optionalString isMysqlAtLeast57 "--insecure"}"; settingsFile = pkgs.writeText "my.cnf" ( generators.toINI { listsAsDuplicateKeys = true; } cfg.settings + @@ -366,9 +358,14 @@ in pkgs.nettools ]; - preStart = '' + preStart = if isMariaDB then '' + if ! test -e ${cfg.dataDir}/mysql; then + ${mysql}/bin/mysql_install_db --defaults-file=/etc/my.cnf ${mysqldOptions} + touch /tmp/mysql_init + fi + '' else '' if ! test -e ${cfg.dataDir}/mysql; then - ${mysql}/bin/mysql_install_db --defaults-file=/etc/my.cnf ${installOptions} + ${mysql}/bin/mysqld --defaults-file=/etc/my.cnf ${mysqldOptions} --initialize-insecure touch /tmp/mysql_init fi ''; diff --git a/nixos/modules/services/misc/nixos-manual.nix b/nixos/modules/services/misc/nixos-manual.nix deleted file mode 100644 index ab73f49d4be..00000000000 --- a/nixos/modules/services/misc/nixos-manual.nix +++ /dev/null @@ -1,73 +0,0 @@ -# This module optionally starts a browser that shows the NixOS manual -# on one of the virtual consoles which is useful for the installation -# CD. - -{ config, lib, pkgs, ... }: - -with lib; - -let - cfg = config.services.nixosManual; - cfgd = config.documentation; -in - -{ - - options = { - - # TODO(@oxij): rename this to `.enable` eventually. - services.nixosManual.showManual = mkOption { - type = types.bool; - default = false; - description = '' - Whether to show the NixOS manual on one of the virtual - consoles. - ''; - }; - - services.nixosManual.ttyNumber = mkOption { - type = types.int; - default = 8; - description = '' - Virtual console on which to show the manual. - ''; - }; - - services.nixosManual.browser = mkOption { - type = types.path; - default = "${pkgs.w3m-nographics}/bin/w3m"; - description = '' - Browser used to show the manual. - ''; - }; - - }; - - - config = mkMerge [ - (mkIf cfg.showManual { - assertions = singleton { - assertion = cfgd.enable && cfgd.nixos.enable; - message = "Can't enable `services.nixosManual.showManual` without `documentation.nixos.enable`"; - }; - }) - (mkIf (cfg.showManual && cfgd.enable && cfgd.nixos.enable) { - console.extraTTYs = [ "tty${toString cfg.ttyNumber}" ]; - - systemd.services.nixos-manual = { - description = "NixOS Manual"; - wantedBy = [ "multi-user.target" ]; - serviceConfig = { - ExecStart = "${cfg.browser} ${config.system.build.manual.manualHTMLIndex}"; - StandardInput = "tty"; - StandardOutput = "tty"; - TTYPath = "/dev/tty${toString cfg.ttyNumber}"; - TTYReset = true; - TTYVTDisallocate = true; - Restart = "always"; - }; - }; - }) - ]; - -} diff --git a/nixos/modules/services/misc/rogue.nix b/nixos/modules/services/misc/rogue.nix deleted file mode 100644 index d56d103b5f3..00000000000 --- a/nixos/modules/services/misc/rogue.nix +++ /dev/null @@ -1,62 +0,0 @@ -# Execute the game `rogue' on tty 9. Mostly used by the NixOS -# installation CD. - -{ config, lib, pkgs, ... }: - -with lib; - -let - - cfg = config.services.rogue; - -in - -{ - ###### interface - - options = { - - services.rogue.enable = mkOption { - type = types.bool; - default = false; - description = '' - Whether to enable the Rogue game on one of the virtual - consoles. - ''; - }; - - services.rogue.tty = mkOption { - type = types.str; - default = "tty9"; - description = '' - Virtual console on which to run Rogue. - ''; - }; - - }; - - - ###### implementation - - config = mkIf cfg.enable { - - console.extraTTYs = [ cfg.tty ]; - - systemd.services.rogue = - { description = "Rogue dungeon crawling game"; - wantedBy = [ "multi-user.target" ]; - serviceConfig = - { ExecStart = "${pkgs.rogue}/bin/rogue"; - StandardInput = "tty"; - StandardOutput = "tty"; - TTYPath = "/dev/${cfg.tty}"; - TTYReset = true; - TTYVTDisallocate = true; - WorkingDirectory = "/tmp"; - Restart = "always"; - }; - }; - - }; - -} diff --git a/nixos/modules/services/monitoring/graphite.nix b/nixos/modules/services/monitoring/graphite.nix index dd147bb3793..64d9d61950d 100644 --- a/nixos/modules/services/monitoring/graphite.nix +++ b/nixos/modules/services/monitoring/graphite.nix @@ -39,8 +39,6 @@ let GRAPHITE_URL = cfg.seyren.graphiteUrl; } // cfg.seyren.extraConfig; - pagerConfig = pkgs.writeText "alarms.yaml" cfg.pager.alerts; - configDir = pkgs.buildEnv { name = "graphite-config"; paths = lists.filter (el: el != null) [ @@ -61,12 +59,10 @@ let carbonEnv = { PYTHONPATH = let - cenv = pkgs.python.buildEnv.override { - extraLibs = [ pkgs.python27Packages.carbon ]; + cenv = pkgs.python3.buildEnv.override { + extraLibs = [ pkgs.python3Packages.carbon ]; }; - cenvPack = "${cenv}/${pkgs.python.sitePackages}"; - # opt/graphite/lib contains twisted.plugins.carbon-cache - in "${cenvPack}/opt/graphite/lib:${cenvPack}"; + in "${cenv}/${pkgs.python3.sitePackages}"; GRAPHITE_ROOT = dataDir; GRAPHITE_CONF_DIR = configDir; GRAPHITE_STORAGE_DIR = dataDir; @@ -74,6 +70,10 @@ let in { + imports = [ + (mkRemovedOptionModule ["services" "graphite" "pager"] "") + ]; + ###### interface options.services.graphite = { @@ -132,7 +132,7 @@ in { finders = mkOption { description = "List of finder plugins to load."; default = []; - example = literalExample "[ pkgs.python27Packages.influxgraph ]"; + example = literalExample "[ pkgs.python3Packages.influxgraph ]"; type = types.listOf types.package; }; @@ -159,8 +159,8 @@ in { package = mkOption { description = "Package to use for graphite api."; - default = pkgs.python27Packages.graphite_api; - defaultText = "pkgs.python27Packages.graphite_api"; + default = pkgs.python3Packages.graphite_api; + defaultText = "pkgs.python3Packages.graphite_api"; type = types.package; }; @@ -344,49 +344,6 @@ in { }; }; - pager = { - enable = mkOption { - description = '' - Whether to enable graphite-pager service. For more information visit - <link xlink:href="https://github.com/seatgeek/graphite-pager"/> - ''; - default = false; - type = types.bool; - }; - - redisUrl = mkOption { - description = "Redis connection string."; - default = "redis://localhost:${toString config.services.redis.port}/"; - type = types.str; - }; - - graphiteUrl = mkOption { - description = "URL to your graphite service."; - default = "http://${cfg.web.listenAddress}:${toString cfg.web.port}"; - type = types.str; - }; - - alerts = mkOption { - description = "Alerts configuration for graphite-pager."; - default = '' - alerts: - - target: constantLine(100) - warning: 90 - critical: 200 - name: Test - ''; - example = '' - pushbullet_key: pushbullet_api_key - alerts: - - target: stats.seatgeek.app.deal_quality.venue_info_cache.hit - warning: .5 - critical: 1 - name: Deal quality venue cache hits - ''; - type = types.lines; - }; - }; - beacon = { enable = mkEnableOption "graphite beacon"; @@ -409,7 +366,7 @@ in { environment = carbonEnv; serviceConfig = { RuntimeDirectory = name; - ExecStart = "${pkgs.pythonPackages.twisted}/bin/twistd ${carbonOpts name}"; + ExecStart = "${pkgs.python3Packages.twisted}/bin/twistd ${carbonOpts name}"; User = "graphite"; Group = "graphite"; PermissionsStartOnly = true; @@ -431,7 +388,7 @@ in { environment = carbonEnv; serviceConfig = { RuntimeDirectory = name; - ExecStart = "${pkgs.pythonPackages.twisted}/bin/twistd ${carbonOpts name}"; + ExecStart = "${pkgs.python3Packages.twisted}/bin/twistd ${carbonOpts name}"; User = "graphite"; Group = "graphite"; PIDFile="/run/${name}/${name}.pid"; @@ -447,7 +404,7 @@ in { environment = carbonEnv; serviceConfig = { RuntimeDirectory = name; - ExecStart = "${pkgs.pythonPackages.twisted}/bin/twistd ${carbonOpts name}"; + ExecStart = "${pkgs.python3Packages.twisted}/bin/twistd ${carbonOpts name}"; User = "graphite"; Group = "graphite"; PIDFile="/run/${name}/${name}.pid"; @@ -457,19 +414,11 @@ in { (mkIf (cfg.carbon.enableCache || cfg.carbon.enableAggregator || cfg.carbon.enableRelay) { environment.systemPackages = [ - pkgs.pythonPackages.carbon + pkgs.python3Packages.carbon ]; }) - (mkIf cfg.web.enable (let - python27' = pkgs.python27.override { - packageOverrides = self: super: { - django = self.django_1_8; - django_tagging = self.django_tagging_0_4_3; - }; - }; - pythonPackages = python27'.pkgs; - in { + (mkIf cfg.web.enable ({ systemd.services.graphiteWeb = { description = "Graphite Web Interface"; wantedBy = [ "multi-user.target" ]; @@ -477,28 +426,27 @@ in { path = [ pkgs.perl ]; environment = { PYTHONPATH = let - penv = pkgs.python.buildEnv.override { + penv = pkgs.python3.buildEnv.override { extraLibs = [ - pythonPackages.graphite-web - pythonPackages.pysqlite + pkgs.python3Packages.graphite-web ]; }; - penvPack = "${penv}/${pkgs.python.sitePackages}"; + penvPack = "${penv}/${pkgs.python3.sitePackages}"; in concatStringsSep ":" [ "${graphiteLocalSettingsDir}" - "${penvPack}/opt/graphite/webapp" "${penvPack}" # explicitly adding pycairo in path because it cannot be imported via buildEnv - "${pkgs.pythonPackages.pycairo}/${pkgs.python.sitePackages}" + "${pkgs.python3Packages.pycairo}/${pkgs.python3.sitePackages}" ]; DJANGO_SETTINGS_MODULE = "graphite.settings"; + GRAPHITE_SETTINGS_MODULE = "graphite_local_settings"; GRAPHITE_CONF_DIR = configDir; GRAPHITE_STORAGE_DIR = dataDir; LD_LIBRARY_PATH = "${pkgs.cairo.out}/lib"; }; serviceConfig = { ExecStart = '' - ${pkgs.python27Packages.waitress-django}/bin/waitress-serve-django \ + ${pkgs.python3Packages.waitress-django}/bin/waitress-serve-django \ --host=${cfg.web.listenAddress} --port=${toString cfg.web.port} ''; User = "graphite"; @@ -510,7 +458,7 @@ in { mkdir -p ${dataDir}/{whisper/,log/webapp/} chmod 0700 ${dataDir}/{whisper/,log/webapp/} - ${pkgs.pythonPackages.django_1_8}/bin/django-admin.py migrate --noinput + ${pkgs.python3Packages.django}/bin/django-admin.py migrate --noinput chown -R graphite:graphite ${dataDir} @@ -518,16 +466,16 @@ in { fi # Only collect static files when graphite_web changes. - if ! [ "${dataDir}/current_graphite_web" -ef "${pythonPackages.graphite-web}" ]; then + if ! [ "${dataDir}/current_graphite_web" -ef "${pkgs.python3Packages.graphite-web}" ]; then mkdir -p ${staticDir} - ${pkgs.pythonPackages.django_1_8}/bin/django-admin.py collectstatic --noinput --clear + ${pkgs.python3Packages.django}/bin/django-admin.py collectstatic --noinput --clear chown -R graphite:graphite ${staticDir} - ln -sfT "${pythonPackages.graphite-web}" "${dataDir}/current_graphite_web" + ln -sfT "${pkgs.python3Packages.graphite-web}" "${dataDir}/current_graphite_web" fi ''; }; - environment.systemPackages = [ pythonPackages.graphite-web ]; + environment.systemPackages = [ pkgs.python3Packages.graphite-web ]; })) (mkIf cfg.api.enable { @@ -537,16 +485,16 @@ in { after = [ "network.target" ]; environment = { PYTHONPATH = let - aenv = pkgs.python.buildEnv.override { - extraLibs = [ cfg.api.package pkgs.cairo pkgs.pythonPackages.cffi ] ++ cfg.api.finders; + aenv = pkgs.python3.buildEnv.override { + extraLibs = [ cfg.api.package pkgs.cairo pkgs.python3Packages.cffi ] ++ cfg.api.finders; }; - in "${aenv}/${pkgs.python.sitePackages}"; + in "${aenv}/${pkgs.python3.sitePackages}"; GRAPHITE_API_CONFIG = graphiteApiConfig; LD_LIBRARY_PATH = "${pkgs.cairo.out}/lib"; }; serviceConfig = { ExecStart = '' - ${pkgs.python27Packages.waitress}/bin/waitress-serve \ + ${pkgs.python3Packages.waitress}/bin/waitress-serve \ --host=${cfg.api.listenAddress} --port=${toString cfg.api.port} \ graphite_api.app:app ''; @@ -591,34 +539,13 @@ in { services.mongodb.enable = mkDefault true; }) - (mkIf cfg.pager.enable { - systemd.services.graphitePager = { - description = "Graphite Pager Alerting Daemon"; - wantedBy = [ "multi-user.target" ]; - after = [ "network.target" "redis.service" ]; - environment = { - REDIS_URL = cfg.pager.redisUrl; - GRAPHITE_URL = cfg.pager.graphiteUrl; - }; - serviceConfig = { - ExecStart = "${pkgs.pythonPackages.graphitepager}/bin/graphite-pager --config ${pagerConfig}"; - User = "graphite"; - Group = "graphite"; - }; - }; - - services.redis.enable = mkDefault true; - - environment.systemPackages = [ pkgs.pythonPackages.graphitepager ]; - }) - (mkIf cfg.beacon.enable { systemd.services.graphite-beacon = { description = "Grpahite Beacon Alerting Daemon"; wantedBy = [ "multi-user.target" ]; serviceConfig = { ExecStart = '' - ${pkgs.pythonPackages.graphite_beacon}/bin/graphite-beacon \ + ${pkgs.python3Packages.graphite_beacon}/bin/graphite-beacon \ --config=${pkgs.writeText "graphite-beacon.json" (builtins.toJSON cfg.beacon.config)} ''; User = "graphite"; @@ -630,7 +557,7 @@ in { (mkIf ( cfg.carbon.enableCache || cfg.carbon.enableAggregator || cfg.carbon.enableRelay || cfg.web.enable || cfg.api.enable || - cfg.seyren.enable || cfg.pager.enable || cfg.beacon.enable + cfg.seyren.enable || cfg.beacon.enable ) { users.users.graphite = { uid = config.ids.uids.graphite; diff --git a/nixos/modules/services/monitoring/netdata.nix b/nixos/modules/services/monitoring/netdata.nix index 7589fd0e67b..e43241eea89 100644 --- a/nixos/modules/services/monitoring/netdata.nix +++ b/nixos/modules/services/monitoring/netdata.nix @@ -9,6 +9,8 @@ let mkdir -p $out/libexec/netdata/plugins.d ln -s /run/wrappers/bin/apps.plugin $out/libexec/netdata/plugins.d/apps.plugin ln -s /run/wrappers/bin/freeipmi.plugin $out/libexec/netdata/plugins.d/freeipmi.plugin + ln -s /run/wrappers/bin/perf.plugin $out/libexec/netdata/plugins.d/perf.plugin + ln -s /run/wrappers/bin/slabinfo.plugin $out/libexec/netdata/plugins.d/slabinfo.plugin ''; plugins = [ @@ -181,6 +183,22 @@ in { permissions = "u+rx,g+rx,o-rwx"; }; + security.wrappers."perf.plugin" = { + source = "${cfg.package}/libexec/netdata/plugins.d/perf.plugin.org"; + capabilities = "cap_sys_admin+ep"; + owner = cfg.user; + group = cfg.group; + permissions = "u+rx,g+rx,o-rx"; + }; + + security.wrappers."slabinfo.plugin" = { + source = "${cfg.package}/libexec/netdata/plugins.d/slabinfo.plugin.org"; + capabilities = "cap_dac_override+ep"; + owner = cfg.user; + group = cfg.group; + permissions = "u+rx,g+rx,o-rx"; + }; + security.pam.loginLimits = [ { domain = "netdata"; type = "soft"; item = "nofile"; value = "10000"; } { domain = "netdata"; type = "hard"; item = "nofile"; value = "30000"; } diff --git a/nixos/modules/services/security/fail2ban.nix b/nixos/modules/services/security/fail2ban.nix index 976b01fd10e..3f84f9c2560 100644 --- a/nixos/modules/services/security/fail2ban.nix +++ b/nixos/modules/services/security/fail2ban.nix @@ -216,7 +216,7 @@ in config = mkIf cfg.enable { - warnings = mkIf (config.networking.firewall.enable == false || config.networking.nftables.enable == false) [ + warnings = mkIf (config.networking.firewall.enable == false && config.networking.nftables.enable == false) [ "fail2ban can not be used without a firewall" ]; diff --git a/nixos/modules/services/web-apps/gerrit.nix b/nixos/modules/services/web-apps/gerrit.nix new file mode 100644 index 00000000000..b184c0754d4 --- /dev/null +++ b/nixos/modules/services/web-apps/gerrit.nix @@ -0,0 +1,218 @@ +{ config, lib, pkgs, ... }: + +with lib; +let + cfg = config.services.gerrit; + + # NixOS option type for git-like configs + gitIniType = with types; + let + primitiveType = either str (either bool int); + multipleType = either primitiveType (listOf primitiveType); + sectionType = lazyAttrsOf multipleType; + supersectionType = lazyAttrsOf (either multipleType sectionType); + in lazyAttrsOf supersectionType; + + gerritConfig = pkgs.writeText "gerrit.conf" ( + lib.generators.toGitINI cfg.settings + ); + + # Wrap the gerrit java with all the java options so it can be called + # like a normal CLI app + gerrit-cli = pkgs.writeShellScriptBin "gerrit" '' + set -euo pipefail + jvmOpts=( + ${lib.escapeShellArgs cfg.jvmOpts} + -Xmx${cfg.jvmHeapLimit} + ) + exec ${cfg.jvmPackage}/bin/java \ + "''${jvmOpts[@]}" \ + -jar ${cfg.package}/webapps/${cfg.package.name}.war \ + "$@" + ''; + + gerrit-plugins = pkgs.runCommand + "gerrit-plugins" + { + buildInputs = [ gerrit-cli ]; + } + '' + shopt -s nullglob + mkdir $out + + for name in ${toString cfg.builtinPlugins}; do + echo "Installing builtin plugin $name.jar" + gerrit cat plugins/$name.jar > $out/$name.jar + done + + for file in ${toString cfg.plugins}; do + name=$(echo "$file" | cut -d - -f 2-) + echo "Installing plugin $name" + ln -sf "$file" $out/$name + done + ''; +in +{ + options = { + services.gerrit = { + enable = mkEnableOption "Gerrit service"; + + package = mkOption { + type = types.package; + default = pkgs.gerrit; + description = "Gerrit package to use"; + }; + + jvmPackage = mkOption { + type = types.package; + default = pkgs.jre_headless; + defaultText = "pkgs.jre_headless"; + description = "Java Runtime Environment package to use"; + }; + + jvmOpts = mkOption { + type = types.listOf types.str; + default = [ + "-Dflogger.backend_factory=com.google.common.flogger.backend.log4j.Log4jBackendFactory#getInstance" + "-Dflogger.logging_context=com.google.gerrit.server.logging.LoggingContext#getInstance" + ]; + description = "A list of JVM options to start gerrit with."; + }; + + jvmHeapLimit = mkOption { + type = types.str; + default = "1024m"; + description = '' + How much memory to allocate to the JVM heap + ''; + }; + + listenAddress = mkOption { + type = types.str; + default = "[::]:8080"; + description = '' + <literal>hostname:port</literal> to listen for HTTP traffic. + + This is bound using the systemd socket activation. + ''; + }; + + settings = mkOption { + type = gitIniType; + default = {}; + description = '' + Gerrit configuration. This will be generated to the + <literal>etc/gerrit.config</literal> file. + ''; + }; + + plugins = mkOption { + type = types.listOf types.package; + default = []; + description = '' + List of plugins to add to Gerrit. Each derivation is a jar file + itself where the name of the derivation is the name of plugin. + ''; + }; + + builtinPlugins = mkOption { + type = types.listOf (types.enum cfg.package.passthru.plugins); + default = []; + description = '' + List of builtins plugins to install. Those are shipped in the + <literal>gerrit.war</literal> file. + ''; + }; + + serverId = mkOption { + type = types.str; + description = '' + Set a UUID that uniquely identifies the server. + + This can be generated with + <literal>nix-shell -p utillinux --run uuidgen</literal>. + ''; + }; + }; + }; + + config = mkIf cfg.enable { + + services.gerrit.settings = { + cache.directory = "/var/cache/gerrit"; + container.heapLimit = cfg.jvmHeapLimit; + gerrit.basePath = lib.mkDefault "git"; + gerrit.serverId = cfg.serverId; + httpd.inheritChannel = "true"; + httpd.listenUrl = lib.mkDefault "http://${cfg.listenAddress}"; + index.type = lib.mkDefault "lucene"; + }; + + # Add the gerrit CLI to the system to run `gerrit init` and friends. + environment.systemPackages = [ gerrit-cli ]; + + systemd.sockets.gerrit = { + unitConfig.Description = "Gerrit HTTP socket"; + wantedBy = [ "sockets.target" ]; + listenStreams = [ cfg.listenAddress ]; + }; + + systemd.services.gerrit = { + description = "Gerrit"; + + wantedBy = [ "multi-user.target" ]; + requires = [ "gerrit.socket" ]; + after = [ "gerrit.socket" "network.target" ]; + + path = [ + gerrit-cli + pkgs.bash + pkgs.coreutils + pkgs.git + pkgs.openssh + ]; + + environment = { + GERRIT_HOME = "%S/gerrit"; + GERRIT_TMP = "%T"; + HOME = "%S/gerrit"; + XDG_CONFIG_HOME = "%S/gerrit/.config"; + }; + + preStart = '' + set -euo pipefail + + # bootstrap if nothing exists + if [[ ! -d git ]]; then + gerrit init --batch --no-auto-start + fi + + # install gerrit.war for the plugin manager + rm -rf bin + mkdir bin + ln -sfv ${cfg.package}/webapps/${cfg.package.name}.war bin/gerrit.war + + # copy the config, keep it mutable because Gerrit + ln -sfv ${gerritConfig} etc/gerrit.config + + # install the plugins + rm -rf plugins + ln -sv ${gerrit-plugins} plugins + '' + ; + + serviceConfig = { + CacheDirectory = "gerrit"; + DynamicUser = true; + ExecStart = "${gerrit-cli}/bin/gerrit daemon --console-log"; + LimitNOFILE = 4096; + StandardInput = "socket"; + StandardOutput = "journal"; + StateDirectory = "gerrit"; + WorkingDirectory = "%S/gerrit"; + }; + }; + }; + + meta.maintainers = with lib.maintainers; [ edef zimbatm ]; +} diff --git a/nixos/modules/services/web-apps/nextcloud.nix b/nixos/modules/services/web-apps/nextcloud.nix index 912e05d6d40..087bd0e5df3 100644 --- a/nixos/modules/services/web-apps/nextcloud.nix +++ b/nixos/modules/services/web-apps/nextcloud.nix @@ -30,7 +30,7 @@ let occ = pkgs.writeScriptBin "nextcloud-occ" '' #! ${pkgs.stdenv.shell} - cd ${pkgs.nextcloud} + cd ${cfg.package} sudo=exec if [[ "$USER" != nextcloud ]]; then sudo='exec /run/wrappers/bin/sudo -u nextcloud --preserve-env=NEXTCLOUD_CONFIG_DIR' @@ -42,6 +42,8 @@ let occ $* ''; + inherit (config.system) stateVersion; + in { options.services.nextcloud = { enable = mkEnableOption "nextcloud"; @@ -64,6 +66,11 @@ in { default = false; description = "Use https for generated links."; }; + package = mkOption { + type = types.package; + description = "Which package to use for the Nextcloud instance."; + relatedPackages = [ "nextcloud17" "nextcloud18" ]; + }; maxUploadSize = mkOption { default = "512M"; @@ -309,10 +316,31 @@ in { } ]; - warnings = optional (cfg.poolConfig != null) '' - Using config.services.nextcloud.poolConfig is deprecated and will become unsupported in a future release. - Please migrate your configuration to config.services.nextcloud.poolSettings. - ''; + warnings = [] + ++ (optional (cfg.poolConfig != null) '' + Using config.services.nextcloud.poolConfig is deprecated and will become unsupported in a future release. + Please migrate your configuration to config.services.nextcloud.poolSettings. + '') + ++ (optional (versionOlder cfg.package.version "18") '' + You're currently deploying an older version of Nextcloud. This may be needed + since Nextcloud doesn't allow major version upgrades across multiple versions (i.e. an + upgrade from 16 is possible to 17, but not to 18). + + Please deploy this to your server and wait until the migration is finished. After + that you can deploy to the latest Nextcloud version available. + ''); + + services.nextcloud.package = with pkgs; + mkDefault ( + if pkgs ? nextcloud + then throw '' + The `pkgs.nextcloud`-attribute has been removed. If it's supposed to be the default + nextcloud defined in an overlay, please set `services.nextcloud.package` to + `pkgs.nextcloud`. + '' + else if versionOlder stateVersion "20.03" then nextcloud17 + else nextcloud18 + ); } { systemd.timers.nextcloud-cron = { @@ -407,7 +435,7 @@ in { path = [ occ ]; script = '' chmod og+x ${cfg.home} - ln -sf ${pkgs.nextcloud}/apps ${cfg.home}/ + ln -sf ${cfg.package}/apps ${cfg.home}/ mkdir -p ${cfg.home}/config ${cfg.home}/data ${cfg.home}/store-apps ln -sf ${overrideConfig} ${cfg.home}/config/override.config.php @@ -429,7 +457,7 @@ in { environment.NEXTCLOUD_CONFIG_DIR = "${cfg.home}/config"; serviceConfig.Type = "oneshot"; serviceConfig.User = "nextcloud"; - serviceConfig.ExecStart = "${phpPackage}/bin/php -f ${pkgs.nextcloud}/cron.php"; + serviceConfig.ExecStart = "${phpPackage}/bin/php -f ${cfg.package}/cron.php"; }; nextcloud-update-plugins = mkIf cfg.autoUpdateApps.enable { serviceConfig.Type = "oneshot"; @@ -471,7 +499,7 @@ in { enable = true; virtualHosts = { ${cfg.hostName} = { - root = pkgs.nextcloud; + root = cfg.package; locations = { "= /robots.txt" = { priority = 100; diff --git a/nixos/modules/services/web-apps/nextcloud.xml b/nixos/modules/services/web-apps/nextcloud.xml index d66e0f0c299..fc454f8ba25 100644 --- a/nixos/modules/services/web-apps/nextcloud.xml +++ b/nixos/modules/services/web-apps/nextcloud.xml @@ -113,5 +113,53 @@ maintenance:install</literal>! This command tries to install the application and can cause unwanted side-effects!</para> </warning> + + <para> + Nextcloud doesn't allow to move more than one major-version forward. If you're e.g. on + <literal>v16</literal>, you cannot upgrade to <literal>v18</literal>, you need to upgrade to + <literal>v17</literal> first. This is ensured automatically as long as the + <link linkend="opt-system.stateVersion">stateVersion</link> is declared properly. In that case + the oldest version available (one major behind the one from the previous NixOS + release) will be selected by default and the module will generate a warning that reminds + the user to upgrade to latest Nextcloud <emphasis>after</emphasis> that deploy. + </para> + </section> + + <section xml:id="module-services-nextcloud-maintainer-info"> + <title>Maintainer information</title> + + <para> + As stated in the previous paragraph, we must provide a clean upgrade-path for Nextcloud + since it cannot move more than one major version forward on a single upgrade. This chapter + adds some notes how Nextcloud updates should be rolled out in the future. + </para> + + <para> + While minor and patch-level updates are no problem and can be done directly in the + package-expression (and should be backported to supported stable branches after that), + major-releases should be added in a new attribute (e.g. Nextcloud <literal>v19.0.0</literal> + should be available in <literal>nixpkgs</literal> as <literal>pkgs.nextcloud19</literal>). + To provide simple upgrade paths it's generally useful to backport those as well to stable + branches. As long as the package-default isn't altered, this won't break existing setups. + After that, the versioning-warning in the <literal>nextcloud</literal>-module should be + updated to make sure that the + <link linkend="opt-services.nextcloud.package">package</link>-option selects the latest version + on fresh setups. + </para> + + <para> + If major-releases will be abandoned by upstream, we should check first if those are needed + in NixOS for a safe upgrade-path before removing those. In that case we shold keep those + packages, but mark them as insecure in an expression like this (in + <literal><nixpkgs/pkgs/servers/nextcloud/default.nix></literal>): +<programlisting>/* ... */ +{ + nextcloud17 = generic { + version = "17.0.x"; + sha256 = "0000000000000000000000000000000000000000000000000000"; + insecure = true; + }; +}</programlisting> + </para> </section> </chapter> diff --git a/nixos/modules/services/x11/desktop-managers/kodi.nix b/nixos/modules/services/x11/desktop-managers/kodi.nix index 65a7b9c628e..e997b9a1134 100644 --- a/nixos/modules/services/x11/desktop-managers/kodi.nix +++ b/nixos/modules/services/x11/desktop-managers/kodi.nix @@ -20,7 +20,7 @@ in services.xserver.desktopManager.session = [{ name = "kodi"; start = '' - ${pkgs.kodi}/bin/kodi --lircdev /run/lirc/lircd --standalone & + LIRC_SOCKET_PATH=/run/lirc/lircd ${pkgs.kodi}/bin/kodi --standalone & waitPID=$! ''; }]; diff --git a/nixos/modules/services/x11/desktop-managers/mate.nix b/nixos/modules/services/x11/desktop-managers/mate.nix index 910a246d776..f236c14fcf3 100644 --- a/nixos/modules/services/x11/desktop-managers/mate.nix +++ b/nixos/modules/services/x11/desktop-managers/mate.nix @@ -44,35 +44,35 @@ in config = mkIf cfg.enable { - services.xserver.desktopManager.session = singleton { - name = "mate"; - bgSupport = true; - start = '' - export XDG_MENU_PREFIX=mate- + services.xserver.displayManager.sessionPackages = [ + pkgs.mate.mate-session-manager + ]; - # Let caja find extensions - export CAJA_EXTENSION_DIRS=$CAJA_EXTENSION_DIRS''${CAJA_EXTENSION_DIRS:+:}${config.system.path}/lib/caja/extensions-2.0 + services.xserver.displayManager.sessionCommands = '' + if test "$XDG_CURRENT_DESKTOP" = "MATE"; then + export XDG_MENU_PREFIX=mate- - # Let caja extensions find gsettings schemas - ${concatMapStrings (p: '' + # Let caja find extensions + export CAJA_EXTENSION_DIRS=$CAJA_EXTENSION_DIRS''${CAJA_EXTENSION_DIRS:+:}${config.system.path}/lib/caja/extensions-2.0 + + # Let caja extensions find gsettings schemas + ${concatMapStrings (p: '' if [ -d "${p}/lib/caja/extensions-2.0" ]; then - ${addToXDGDirs p} + ${addToXDGDirs p} fi - '') - config.environment.systemPackages - } + '') config.environment.systemPackages} - # Let mate-panel find applets - export MATE_PANEL_APPLETS_DIR=$MATE_PANEL_APPLETS_DIR''${MATE_PANEL_APPLETS_DIR:+:}${config.system.path}/share/mate-panel/applets - export MATE_PANEL_EXTRA_MODULES=$MATE_PANEL_EXTRA_MODULES''${MATE_PANEL_EXTRA_MODULES:+:}${config.system.path}/lib/mate-panel/applets + # Add mate-control-center paths to some XDG variables because its schemas are needed by mate-settings-daemon, and mate-settings-daemon is a dependency for mate-control-center (that is, they are mutually recursive) + ${addToXDGDirs pkgs.mate.mate-control-center} + fi + ''; - # Add mate-control-center paths to some XDG variables because its schemas are needed by mate-settings-daemon, and mate-settings-daemon is a dependency for mate-control-center (that is, they are mutually recursive) - ${addToXDGDirs pkgs.mate.mate-control-center} + # Let mate-panel find applets + environment.sessionVariables."MATE_PANEL_APPLETS_DIR" = "${config.system.path}/share/mate-panel/applets"; + environment.sessionVariables."MATE_PANEL_EXTRA_MODULES" = "${config.system.path}/lib/mate-panel/applets"; - ${pkgs.mate.mate-session-manager}/bin/mate-session ${optionalString cfg.debug "--debug"} & - waitPID=$! - ''; - }; + # Debugging + environment.sessionVariables.MATE_SESSION_DEBUG = mkIf cfg.debug "1"; environment.systemPackages = pkgs.mate.basePackages ++ diff --git a/nixos/release-small.nix b/nixos/release-small.nix index 7b86a91357e..2a15073b669 100644 --- a/nixos/release-small.nix +++ b/nixos/release-small.nix @@ -28,7 +28,7 @@ let in rec { nixos = { - inherit (nixos') channel manual iso_minimal dummy; + inherit (nixos') channel manual options iso_minimal dummy; tests = { inherit (nixos'.tests) containers-imperative diff --git a/nixos/tests/all-tests.nix b/nixos/tests/all-tests.nix index 51b463747b0..3501c551625 100644 --- a/nixos/tests/all-tests.nix +++ b/nixos/tests/all-tests.nix @@ -97,6 +97,7 @@ in fontconfig-default-fonts = handleTest ./fontconfig-default-fonts.nix {}; freeswitch = handleTest ./freeswitch.nix {}; fsck = handleTest ./fsck.nix {}; + gerrit = handleTest ./gerrit.nix {}; gotify-server = handleTest ./gotify-server.nix {}; grocy = handleTest ./grocy.nix {}; gitdaemon = handleTest ./gitdaemon.nix {}; diff --git a/nixos/tests/gerrit.nix b/nixos/tests/gerrit.nix new file mode 100644 index 00000000000..e8b5cb4c4fe --- /dev/null +++ b/nixos/tests/gerrit.nix @@ -0,0 +1,56 @@ +import ./make-test-python.nix ({ pkgs, ... }: + +let + lfs = pkgs.fetchurl { + url = "https://gerrit-ci.gerritforge.com/job/plugin-lfs-bazel-master/90/artifact/bazel-bin/plugins/lfs/lfs.jar"; + sha256 = "023b0kd8djm3cn1lf1xl67yv3j12yl8bxccn42lkfmwxjwjfqw6h"; + }; + +in { + name = "gerrit"; + + meta = with pkgs.stdenv.lib.maintainers; { + maintainers = [ flokli zimbatm ]; + }; + + nodes = { + server = + { config, pkgs, ... }: { + networking.firewall.allowedTCPPorts = [ 80 2222 ]; + + virtualisation.memorySize = 1024; + + services.gerrit = { + enable = true; + serverId = "aa76c84b-50b0-4711-a0a0-1ee30e45bbd0"; + listenAddress = "[::]:80"; + jvmPackage = pkgs.jdk12_headless; + jvmHeapLimit = "1g"; + + plugins = [ lfs ]; + builtinPlugins = [ "hooks" "webhooks" ]; + settings = { + gerrit.canonicalWebUrl = "http://server"; + lfs.plugin = "lfs"; + plugins.allowRemoteAdmin = true; + sshd.listenAddress = "[::]:2222"; + sshd.advertisedAddress = "[::]:2222"; + }; + }; + }; + + client = + { ... }: { + }; + }; + + testScript = '' + start_all() + server.wait_for_unit("gerrit.service") + server.wait_for_open_port(80) + client.succeed("curl http://server") + + server.wait_for_open_port(2222) + client.succeed("nc -z server 2222") + ''; +}) diff --git a/nixos/tests/graphite.nix b/nixos/tests/graphite.nix index ba3c73bb878..71776a94cbd 100644 --- a/nixos/tests/graphite.nix +++ b/nixos/tests/graphite.nix @@ -12,15 +12,19 @@ import ./make-test-python.nix ({ pkgs, ... } : virtualisation.memorySize = 1024; time.timeZone = "UTC"; services.graphite = { - web.enable = true; + web = { + enable = true; + extraConfig = '' + SECRET_KEY = "abcd"; + ''; + }; api = { enable = true; port = 8082; - finders = [ pkgs.python27Packages.influxgraph ]; + finders = [ pkgs.python3Packages.influxgraph ]; }; carbon.enableCache = true; - seyren.enable = true; - pager.enable = true; + seyren.enable = false; # Implicitely requires openssl-1.0.2u which is marked insecure beacon.enable = true; }; }; @@ -31,16 +35,16 @@ import ./make-test-python.nix ({ pkgs, ... } : one.wait_for_unit("default.target") one.wait_for_unit("graphiteWeb.service") one.wait_for_unit("graphiteApi.service") - one.wait_for_unit("graphitePager.service") one.wait_for_unit("graphite-beacon.service") one.wait_for_unit("carbonCache.service") - one.wait_for_unit("seyren.service") # The services above are of type "simple". systemd considers them active immediately # even if they're still in preStart (which takes quite long for graphiteWeb). # Wait for ports to open so we're sure the services are up and listening. one.wait_for_open_port(8080) one.wait_for_open_port(2003) one.succeed('echo "foo 1 `date +%s`" | nc -N localhost 2003') - one.wait_until_succeeds("curl 'http://localhost:8080/metrics/find/?query=foo&format=treejson' --silent | grep foo >&2") + one.wait_until_succeeds( + "curl 'http://localhost:8080/metrics/find/?query=foo&format=treejson' --silent | grep foo >&2" + ) ''; }) diff --git a/nixos/tests/installer.nix b/nixos/tests/installer.nix index 983861911e0..babde4126c4 100644 --- a/nixos/tests/installer.nix +++ b/nixos/tests/installer.nix @@ -104,7 +104,6 @@ let with subtest("Assert readiness of login prompt"): machine.succeed("echo hello") - machine.wait_for_unit("nixos-manual") with subtest("Wait for hard disks to appear in /dev"): machine.succeed("udevadm settle") diff --git a/nixos/tests/mysql.nix b/nixos/tests/mysql.nix index 924bac84e26..84673181e1a 100644 --- a/nixos/tests/mysql.nix +++ b/nixos/tests/mysql.nix @@ -22,6 +22,27 @@ import ./make-test-python.nix ({ pkgs, ...} : { services.mysql.package = pkgs.mysql57; }; + mysql80 = + { pkgs, ... }: + + { + # prevent oom: + # Kernel panic - not syncing: Out of memory: compulsory panic_on_oom is enabled + virtualisation.memorySize = 1024; + + services.mysql.enable = true; + services.mysql.initialDatabases = [ + { name = "testdb"; schema = ./testdb.sql; } + { name = "empty_testdb"; } + ]; + # note that using pkgs.writeText here is generally not a good idea, + # as it will store the password in world-readable /nix/store ;) + services.mysql.initialScript = pkgs.writeText "mysql-init.sql" '' + CREATE USER 'passworduser'@'localhost' IDENTIFIED BY 'password123'; + ''; + services.mysql.package = pkgs.mysql80; + }; + mariadb = { pkgs, ... }: @@ -61,6 +82,12 @@ import ./make-test-python.nix ({ pkgs, ...} : { # ';' acts as no-op, just check whether login succeeds with the user created from the initialScript mysql.succeed("echo ';' | mysql -u passworduser --password=password123") + mysql80.wait_for_unit("mysql") + mysql80.succeed("echo 'use empty_testdb;' | mysql -u root") + mysql80.succeed("echo 'use testdb; select * from tests;' | mysql -u root -N | grep 4") + # ';' acts as no-op, just check whether login succeeds with the user created from the initialScript + mysql80.succeed("echo ';' | mysql -u passworduser --password=password123") + mariadb.wait_for_unit("mysql") mariadb.succeed( "echo 'use testdb; create table tests (test_id INT, PRIMARY KEY (test_id));' | sudo -u testuser mysql -u testuser" diff --git a/pkgs/applications/audio/ardour/default.nix b/pkgs/applications/audio/ardour/default.nix index 30a4e052d77..5b2f6931ed1 100644 --- a/pkgs/applications/audio/ardour/default.nix +++ b/pkgs/applications/audio/ardour/default.nix @@ -1,8 +1,8 @@ { stdenv, fetchgit, alsaLib, aubio, boost, cairomm, curl, doxygen , fftwSinglePrec, flac, glibc, glibmm, graphviz, gtkmm2, libjack2 -, libgnomecanvas, libgnomecanvasmm, liblo, libmad, libogg, librdf +, libgnomecanvas, libgnomecanvasmm, liblo, libmad, libogg , librdf_raptor, librdf_rasqal, libsamplerate, libsigcxx, libsndfile -, libusb, libuuid, libxml2, libxslt, lilv, lv2, makeWrapper +, libusb, libuuid, libxml2, libxslt, lilv, lrdf, lv2, makeWrapper , perl, pkgconfig, python2, rubberband, serd, sord, sratom , taglib, vampSDK, dbus, fftw, pango, suil, libarchive , wafHook }: @@ -34,8 +34,8 @@ stdenv.mkDerivation rec { buildInputs = [ alsaLib aubio boost cairomm curl doxygen dbus fftw fftwSinglePrec flac glibmm graphviz gtkmm2 libjack2 libgnomecanvas libgnomecanvasmm liblo - libmad libogg librdf librdf_raptor librdf_rasqal libsamplerate - libsigcxx libsndfile libusb libuuid libxml2 libxslt lilv lv2 + libmad libogg librdf_raptor librdf_rasqal libsamplerate + libsigcxx libsndfile libusb libuuid libxml2 libxslt lilv lrdf lv2 makeWrapper pango perl pkgconfig python2 rubberband serd sord sratom suil taglib vampSDK libarchive ]; diff --git a/pkgs/applications/audio/faust/faust2.nix b/pkgs/applications/audio/faust/faust2.nix index 74a5f4d383d..cca0e21f835 100644 --- a/pkgs/applications/audio/faust/faust2.nix +++ b/pkgs/applications/audio/faust/faust2.nix @@ -20,13 +20,13 @@ with stdenv.lib.strings; let - version = "2.20.2"; + version = "unstable-2020-03-20"; src = fetchFromGitHub { owner = "grame-cncm"; repo = "faust"; - rev = version; - sha256 = "08hv8gyj6c83128z3si92r1ka5ckf9sdpn5jdnlhrqyzja4mrxsy"; + rev = "2782088d4485f1c572755f41e7a072b41cb7148a"; + sha256 = "1l7bi2mq10s5wm8g4cdipg8gndd478x897qv0h7nqi1s2q9nq99p"; fetchSubmodules = true; }; diff --git a/pkgs/applications/audio/faust/faust2jaqt.nix b/pkgs/applications/audio/faust/faust2jaqt.nix index 5a015e5ca31..144d19cb01e 100644 --- a/pkgs/applications/audio/faust/faust2jaqt.nix +++ b/pkgs/applications/audio/faust/faust2jaqt.nix @@ -3,6 +3,7 @@ , opencv , qt4 , libsndfile +, which }: faust.wrapWithBuildEnv { @@ -19,6 +20,7 @@ faust.wrapWithBuildEnv { opencv qt4 libsndfile + which ]; } diff --git a/pkgs/applications/audio/faust/faust2lv2.nix b/pkgs/applications/audio/faust/faust2lv2.nix index 3472ce5047e..51d956b1403 100644 --- a/pkgs/applications/audio/faust/faust2lv2.nix +++ b/pkgs/applications/audio/faust/faust2lv2.nix @@ -2,6 +2,7 @@ , faust , lv2 , qt4 +, which }: @@ -9,6 +10,6 @@ faust.wrapWithBuildEnv { baseName = "faust2lv2"; - propagatedBuildInputs = [ boost lv2 qt4 ]; + propagatedBuildInputs = [ boost lv2 qt4 which ]; } diff --git a/pkgs/applications/audio/grandorgue/default.nix b/pkgs/applications/audio/grandorgue/default.nix new file mode 100644 index 00000000000..e2ba4ee2244 --- /dev/null +++ b/pkgs/applications/audio/grandorgue/default.nix @@ -0,0 +1,32 @@ +{ lib, stdenv, fetchsvn, cmake, pkg-config, gcc, pkgconfig, fftwFloat, alsaLib +, zlib, wavpack, wxGTK31, udev, jackaudioSupport ? false, libjack2 +, includeDemo ? true }: + +stdenv.mkDerivation rec { + pname = "grandorgue"; + rev = "2333"; + version = "0.3.1-r${rev}"; + src = fetchsvn { + url = "https://svn.code.sf.net/p/ourorgan/svn/trunk"; + inherit rev; + sha256 = "0xzjdc2g4gja2lpmn21xhdskv43qpbpzkbb05jfqv6ma2zwffzz1"; + }; + + nativeBuildInputs = [ cmake pkg-config ]; + + buildInputs = [ pkgconfig fftwFloat alsaLib zlib wavpack wxGTK31 udev ] + ++ lib.optional jackaudioSupport libjack2; + + cmakeFlags = lib.optional (!jackaudioSupport) [ + "-DRTAUDIO_USE_JACK=OFF" + "-DRTMIDI_USE_JACK=OFF" + ] ++ lib.optional (!includeDemo) "-DINSTALL_DEMO=OFF"; + + meta = { + description = "Virtual Pipe Organ Software"; + homepage = "https://sourceforge.net/projects/ourorgan"; + license = stdenv.lib.licenses.gpl2; + platforms = stdenv.lib.platforms.linux; + maintainers = [ stdenv.lib.maintainers.puzzlewolf ]; + }; +} diff --git a/pkgs/applications/audio/guitarix/default.nix b/pkgs/applications/audio/guitarix/default.nix index ca552882ba4..acb078fea87 100644 --- a/pkgs/applications/audio/guitarix/default.nix +++ b/pkgs/applications/audio/guitarix/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, gettext, intltool, pkgconfig, python2 , avahi, bluez, boost, eigen, fftw, glib, glib-networking , glibmm, gsettings-desktop-schemas, gtkmm2, libjack2 -, ladspaH, libav, librdf, libsndfile, lilv, lv2, serd, sord, sratom +, ladspaH, libav, libsndfile, lilv, lrdf, lv2, serd, sord, sratom , wrapGAppsHook, zita-convolver, zita-resampler, curl, wafHook , optimizationSupport ? false # Enable support for native CPU extensions }: @@ -23,8 +23,8 @@ stdenv.mkDerivation rec { buildInputs = [ avahi bluez boost eigen fftw glib glibmm glib-networking.out - gsettings-desktop-schemas gtkmm2 libjack2 ladspaH libav librdf - libsndfile lilv lv2 serd sord sratom zita-convolver + gsettings-desktop-schemas gtkmm2 libjack2 ladspaH libav + libsndfile lilv lrdf lv2 serd sord sratom zita-convolver zita-resampler curl ]; diff --git a/pkgs/applications/audio/hydrogen/default.nix b/pkgs/applications/audio/hydrogen/default.nix index 50093f8a61d..d8aa2a013c4 100644 --- a/pkgs/applications/audio/hydrogen/default.nix +++ b/pkgs/applications/audio/hydrogen/default.nix @@ -1,5 +1,6 @@ -{ stdenv, fetchurl, alsaLib, boost, cmake, glib, lash, libjack2, libarchive -, liblrdf, libsndfile, pkgconfig, qt4 }: +{ stdenv, fetchurl, pkgconfig, cmake +, alsaLib, boost, glib, lash, libjack2, libarchive, libsndfile, lrdf, qt4 +}: stdenv.mkDerivation rec { version = "0.9.7"; @@ -10,9 +11,9 @@ stdenv.mkDerivation rec { sha256 = "1dy2jfkdw0nchars4xi4isrz66fqn53a9qk13bqza7lhmsg3s3qy"; }; - nativeBuildInputs = [ pkgconfig ]; + nativeBuildInputs = [ pkgconfig cmake ]; buildInputs = [ - alsaLib boost cmake glib lash libjack2 libarchive liblrdf libsndfile qt4 + alsaLib boost glib lash libjack2 libarchive libsndfile lrdf qt4 ]; meta = with stdenv.lib; { diff --git a/pkgs/applications/audio/jack-rack/default.nix b/pkgs/applications/audio/jack-rack/default.nix index 41b40223b87..2f9b9db93c2 100644 --- a/pkgs/applications/audio/jack-rack/default.nix +++ b/pkgs/applications/audio/jack-rack/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, pkgconfig, libjack2, ladspaH, gtk2, alsaLib, libxml2, librdf }: +{ stdenv, fetchurl, pkgconfig, libjack2, ladspaH, gtk2, alsaLib, libxml2, lrdf }: stdenv.mkDerivation rec { name = "jack-rack-1.4.7"; src = fetchurl { @@ -6,7 +6,7 @@ stdenv.mkDerivation rec { sha256 = "1lmibx9gicagcpcisacj6qhq6i08lkl5x8szysjqvbgpxl9qg045"; }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ libjack2 ladspaH gtk2 alsaLib libxml2 librdf ]; + buildInputs = [ libjack2 ladspaH gtk2 alsaLib libxml2 lrdf ]; NIX_LDFLAGS = "-ldl -lm -lpthread"; meta = { diff --git a/pkgs/applications/audio/magnetophonDSP/faustCompressors/default.nix b/pkgs/applications/audio/magnetophonDSP/faustCompressors/default.nix index fece392ab1c..735e7efe54d 100644 --- a/pkgs/applications/audio/magnetophonDSP/faustCompressors/default.nix +++ b/pkgs/applications/audio/magnetophonDSP/faustCompressors/default.nix @@ -13,6 +13,8 @@ stdenv.mkDerivation rec { buildInputs = [ faust2jaqt faust2lv2 ]; buildPhase = '' + echo "hack out autoComp.dsp due to https://github.com/grame-cncm/faust/407/issues " + rm autoComp.dsp for f in *.dsp; do echo "compiling standalone from" $f diff --git a/pkgs/applications/audio/muse/default.nix b/pkgs/applications/audio/muse/default.nix index f1fad05bece..87f86306b48 100644 --- a/pkgs/applications/audio/muse/default.nix +++ b/pkgs/applications/audio/muse/default.nix @@ -1,25 +1,33 @@ -{ stdenv -, fetchFromGitHub -, libjack2 -, wrapQtAppsHook -, qtsvg -, qttools -, cmake -, libsndfile -, libsamplerate -, ladspaH -, fluidsynth -, alsaLib -, rtaudio -, lash -, dssi -, liblo -, pkgconfig +{ stdenv, fetchFromGitHub, cmake, pkgconfig, qttools, wrapQtAppsHook +, alsaLib, dssi, fluidsynth, ladspaH, lash, libinstpatch, libjack2, liblo +, libsamplerate, libsndfile, lilv, lrdf, lv2, qtsvg, rtaudio, rubberband, sord }: -stdenv.mkDerivation { +stdenv.mkDerivation rec { pname = "muse-sequencer"; - version = "3.1pre1"; + version = "3.1.0"; + + src = fetchFromGitHub { + owner = "muse-sequencer"; + repo = "muse"; + rev = "muse_${builtins.replaceStrings ["."] ["_"] version}"; + sha256 = "08k25652w88xf2i79lw305x1phpk7idrww9jkqwcs8q6wzgmz8aq"; + }; + + sourceRoot = "source/muse3"; + + prePatch = '' + chmod u+w $NIX_BUILD_TOP + ''; + + patches = [ ./fix-parallel-building.patch ]; + + nativeBuildInputs = [ cmake pkgconfig qttools wrapQtAppsHook ]; + + buildInputs = [ + alsaLib dssi fluidsynth ladspaH lash libinstpatch libjack2 liblo + libsamplerate libsndfile lilv lrdf lv2 qtsvg rtaudio rubberband sord + ]; meta = with stdenv.lib; { homepage = "https://www.muse-sequencer.org/"; @@ -32,38 +40,7 @@ stdenv.mkDerivation { MusE aims to be a complete multitrack virtual studio for Linux, it is published under the GNU General Public License. ''; - license = stdenv.lib.licenses.gpl2; + license = licenses.gpl2Plus; + maintainers = with maintainers; [ orivej ]; }; - - src = - fetchFromGitHub { - owner = "muse-sequencer"; - repo = "muse"; - rev = "2167ae053c16a633d8377acdb1debaac10932838"; - sha256 = "0rsdx8lvcbz5bapnjvypw8h8bq587s9z8cf2znqrk6ah38s6fsrf"; - }; - - - nativeBuildInputs = [ - pkgconfig - wrapQtAppsHook - qttools - cmake - ]; - - buildInputs = [ - libjack2 - qtsvg - libsndfile - libsamplerate - ladspaH - fluidsynth - alsaLib - rtaudio - lash - dssi - liblo - ]; - - sourceRoot = "source/muse3"; } diff --git a/pkgs/applications/audio/muse/fix-parallel-building.patch b/pkgs/applications/audio/muse/fix-parallel-building.patch new file mode 100644 index 00000000000..abeec5d54cc --- /dev/null +++ b/pkgs/applications/audio/muse/fix-parallel-building.patch @@ -0,0 +1,78 @@ +To confirm these dependencies, run in a fresh build tree: + + +ninja muse/components/CMakeFiles/components.dir/confmport.o + +In file included from ../muse/components/confmport.cpp:48: +../muse/mplugins/midifilterimpl.h:28:10: fatal error: +ui_midifilter.h: No such file or directory + + +ninja muse/waveedit/CMakeFiles/waveedit.dir/wavecanvas.o + +In file included from ../muse/waveedit/wavecanvas.cpp:72: +../muse/components/copy_on_write.h:26:10: fatal error: +ui_copy_on_write_base.h: No such file or directory + + +ninja muse/instruments/CMakeFiles/instruments.dir/editinstrument.o + +In file included from ../muse/instruments/editinstrument.cpp:58: +../muse/components/editevent.h:26:10: fatal error: +ui_editnotedialogbase.h: No such file or directory + + +ninja muse/liste/CMakeFiles/liste.dir/listedit.o + +In file included from ../muse/liste/listedit.cpp:37: +../muse/components/editevent.h:26:10: fatal error: +ui_editnotedialogbase.h: No such file or directory + + +ninja muse/mixer/CMakeFiles/mixer.dir/rack.o + +In file included from ../muse/mixer/rack.cpp:49: +../muse/components/plugindialog.h:4:10: fatal error: +ui_plugindialogbase.h: No such file or directory + + +--- a/muse/components/CMakeLists.txt ++++ b/muse/components/CMakeLists.txt +@@ -343,4 +343,5 @@ set_target_properties( components + target_link_libraries ( components + ${QT_LIBRARIES} ++ mplugins + widgets + xml_module +--- a/muse/waveedit/CMakeLists.txt ++++ b/muse/waveedit/CMakeLists.txt +@@ -79,4 +79,5 @@ set_target_properties( waveedit + target_link_libraries( waveedit + ${QT_LIBRARIES} ++ components + widgets + ) +--- a/muse/instruments/CMakeLists.txt ++++ b/muse/instruments/CMakeLists.txt +@@ -78,4 +78,5 @@ set_target_properties( instruments + target_link_libraries ( instruments + ${QT_LIBRARIES} ++ components + icons + widgets +--- a/muse/liste/CMakeLists.txt ++++ b/muse/liste/CMakeLists.txt +@@ -65,4 +65,5 @@ set_target_properties( liste + target_link_libraries ( liste + ${QT_LIBRARIES} ++ components + awl + widgets +--- a/muse/mixer/CMakeLists.txt ++++ b/muse/mixer/CMakeLists.txt +@@ -87,4 +87,5 @@ set_target_properties ( mixer + target_link_libraries ( mixer + ${QT_LIBRARIES} ++ components + widgets + ) diff --git a/pkgs/applications/audio/netease-cloud-music/default.nix b/pkgs/applications/audio/netease-cloud-music/default.nix index 76dcba304a3..15afe233b3a 100644 --- a/pkgs/applications/audio/netease-cloud-music/default.nix +++ b/pkgs/applications/audio/netease-cloud-music/default.nix @@ -68,7 +68,6 @@ in stdenv.mkDerivation rec { wrapProgram $out/bin/netease-cloud-music \ --prefix LD_LIBRARY_PATH : "${runtimeLibs}" \ - --set QT_AUTO_SCREEN_SCALE_FACTOR 1 \ --set QCEF_INSTALL_PATH "${deepin.qcef}/lib/qcef" ''; diff --git a/pkgs/applications/audio/non/default.nix b/pkgs/applications/audio/non/default.nix index 5f8c82b98d0..651db50f0a7 100644 --- a/pkgs/applications/audio/non/default.nix +++ b/pkgs/applications/audio/non/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchFromGitHub, pkgconfig, python2, cairo, libjpeg, ntk, libjack2 -, libsndfile, ladspaH, liblrdf, liblo, libsigcxx, wafHook +, libsndfile, ladspaH, liblo, libsigcxx, lrdf, wafHook }: stdenv.mkDerivation { @@ -14,7 +14,7 @@ stdenv.mkDerivation { nativeBuildInputs = [ pkgconfig wafHook ]; buildInputs = [ python2 cairo libjpeg ntk libjack2 libsndfile - ladspaH liblrdf liblo libsigcxx + ladspaH liblo libsigcxx lrdf ]; meta = { diff --git a/pkgs/applications/audio/rosegarden/default.nix b/pkgs/applications/audio/rosegarden/default.nix index 8d8e3e1b6c0..3d9342f16ca 100644 --- a/pkgs/applications/audio/rosegarden/default.nix +++ b/pkgs/applications/audio/rosegarden/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl, cmake, makedepend, perl, pkgconfig, qttools, wrapQtAppsHook , dssi, fftwSinglePrec, ladspaH, ladspaPlugins, libjack2, alsaLib -, liblo, liblrdf, libsamplerate, libsndfile, lirc ? null, qtbase }: +, liblo, libsamplerate, libsndfile, lirc ? null, lrdf, qtbase }: stdenv.mkDerivation (rec { version = "19.12"; @@ -25,10 +25,10 @@ stdenv.mkDerivation (rec { ladspaPlugins libjack2 liblo - liblrdf libsamplerate libsndfile lirc + lrdf qtbase alsaLib ]; diff --git a/pkgs/applications/audio/sonic-lineup/default.nix b/pkgs/applications/audio/sonic-lineup/default.nix index 4ad9e51b645..68e1b6b3f8a 100644 --- a/pkgs/applications/audio/sonic-lineup/default.nix +++ b/pkgs/applications/audio/sonic-lineup/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl, alsaLib, boost, bzip2, fftw, fftwFloat, libfishsound -, libid3tag, liblo, liblrdf, libmad, liboggz, libpulseaudio, libsamplerate -, libsndfile, opusfile, portaudio, rubberband, serd, sord, vampSDK, capnproto +, libid3tag, liblo, libmad, liboggz, libpulseaudio, libsamplerate +, libsndfile, lrdf, opusfile, portaudio, rubberband, serd, sord, vampSDK, capnproto , wrapQtAppsHook, pkgconfig }: @@ -14,8 +14,8 @@ stdenv.mkDerivation rec { }; buildInputs = - [ alsaLib boost bzip2 fftw fftwFloat libfishsound libid3tag liblo liblrdf - libmad liboggz libpulseaudio libsamplerate libsndfile opusfile pkgconfig + [ alsaLib boost bzip2 fftw fftwFloat libfishsound libid3tag liblo + libmad liboggz libpulseaudio libsamplerate libsndfile lrdf opusfile portaudio rubberband serd sord capnproto ]; diff --git a/pkgs/applications/audio/sonic-visualiser/default.nix b/pkgs/applications/audio/sonic-visualiser/default.nix index d1c981de2d5..187c887baa5 100644 --- a/pkgs/applications/audio/sonic-visualiser/default.nix +++ b/pkgs/applications/audio/sonic-visualiser/default.nix @@ -1,7 +1,7 @@ # TODO add plugins having various licenses, see http://www.vamp-plugins.org/download.html { stdenv, fetchurl, alsaLib, bzip2, fftw, libjack2, libX11, liblo -, libmad, libogg, librdf, librdf_raptor, librdf_rasqal, libsamplerate +, libmad, libogg, lrdf, librdf_raptor, librdf_rasqal, libsamplerate , libsndfile, pkgconfig, libpulseaudio, qtbase, qtsvg, redland , rubberband, serd, sord, vampSDK, fftwFloat , capnproto, liboggz, libfishsound, libid3tag, opusfile @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { }; buildInputs = - [ libsndfile qtbase qtsvg fftw fftwFloat bzip2 librdf rubberband + [ libsndfile qtbase qtsvg fftw fftwFloat bzip2 lrdf rubberband libsamplerate vampSDK alsaLib librdf_raptor librdf_rasqal redland serd sord diff --git a/pkgs/applications/audio/spotify-tui/default.nix b/pkgs/applications/audio/spotify-tui/default.nix index 94f08a5f7a8..5837bd45310 100644 --- a/pkgs/applications/audio/spotify-tui/default.nix +++ b/pkgs/applications/audio/spotify-tui/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "spotify-tui"; - version = "0.16.0"; + version = "0.17.0"; src = fetchFromGitHub { owner = "Rigellute"; repo = "spotify-tui"; rev = "v${version}"; - sha256 = "0fmj25zjg12v0kyanic343lrdhxkh290v88qiz6ac47g8bdy3c83"; + sha256 = "1jx2qyshqg84l3fm682h8262da0hy68qjjg3dm2i53dxqxrm5ji9"; }; - cargoSha256 = "1n8aacy0hapjm10hmgqm07rb5c0ngmzr1s116pspsl7cdszza6xi"; + cargoSha256 = "12qwp59gshc9d6nz0s3w03zc8sxqri12vrav94vi54fqagiikinm"; nativeBuildInputs = [ pkgconfig ] ++ stdenv.lib.optionals stdenv.isLinux [ python3 ]; buildInputs = [ openssl ] diff --git a/pkgs/applications/blockchains/namecoin.nix b/pkgs/applications/blockchains/namecoin.nix index 4b8dc5525dc..02f2249862d 100644 --- a/pkgs/applications/blockchains/namecoin.nix +++ b/pkgs/applications/blockchains/namecoin.nix @@ -3,14 +3,14 @@ with stdenv.lib; stdenv.mkDerivation rec { - version = "nc0.15.99-name-tab-beta2"; + version = "nc0.19.1"; name = "namecoin" + toString (optional (!withGui) "d") + "-" + version; src = fetchFromGitHub { owner = "namecoin"; repo = "namecoin-core"; rev = version; - sha256 = "1r0v0yvlazmidxp6xhapbdawqb8fhzrdp11d4an5vgxa208s6wdf"; + sha256 = "13rdvngrl2w0gk7km3sd9fy8yxzgxlkcwn50ajsbrhgzl8kx4q7m"; }; nativeBuildInputs = [ @@ -40,7 +40,7 @@ stdenv.mkDerivation rec { meta = { description = "Decentralized open source information registration and transfer system based on the Bitcoin cryptocurrency"; - homepage = https://namecoin.org; + homepage = "https://namecoin.org"; license = licenses.mit; maintainers = with maintainers; [ doublec AndersonTorres infinisil ]; platforms = platforms.linux; diff --git a/pkgs/applications/blockchains/tessera.nix b/pkgs/applications/blockchains/tessera.nix new file mode 100644 index 00000000000..84f7925d218 --- /dev/null +++ b/pkgs/applications/blockchains/tessera.nix @@ -0,0 +1,26 @@ +{ stdenv, fetchurl, makeWrapper, jre }: + +stdenv.mkDerivation rec { + pname = "tessera"; + version = "0.10.2"; + + src = fetchurl { + url = "https://oss.sonatype.org/service/local/repositories/releases/content/com/jpmorgan/quorum/${pname}-app/${version}/${pname}-app-${version}-app.jar"; + sha256 = "1zn8w7q0q5man0407kb82lw4mlvyiy9whq2f6izf2b5415f9s0m4"; + }; + + nativeBuildInputs = [ makeWrapper ]; + + dontUnpack = true; + + installPhase = '' + makeWrapper ${jre}/bin/java $out/bin/tessera --add-flags "-jar $src" + ''; + + meta = with stdenv.lib; { + description = "Enterprise Implementation of Quorum's transaction manager"; + homepage = "https://github.com/jpmorganchase/tessera"; + license = licenses.asl20; + maintainers = with maintainers; [ mmahut ]; + }; +} diff --git a/pkgs/applications/editors/android-studio/default.nix b/pkgs/applications/editors/android-studio/default.nix index 573eec84502..9a476ada214 100644 --- a/pkgs/applications/editors/android-studio/default.nix +++ b/pkgs/applications/editors/android-studio/default.nix @@ -18,9 +18,9 @@ let sha256Hash = "072rvh20xkn7izh6f2r2bspy06jrvcibj2hc12hz76m8cwzf4v0m"; }; latestVersion = { # canary & dev - version = "4.1.0.3"; # "Android Studio 4.1 Canary 3" - build = "193.6297379"; - sha256Hash = "0sb8ll9bkkdglq18wvy5hikimhjbpfadjdygx9cd8q545h8dy137"; + version = "4.1.0.4"; # "Android Studio 4.1 Canary 4" + build = "193.6325121"; + sha256Hash = "19b4a03qfljdisn7cw44qzab85hib000m9mgswzssjh6ylkd9arw"; }; in { # Attributes are named by their corresponding release channels diff --git a/pkgs/applications/editors/eclipse/default.nix b/pkgs/applications/editors/eclipse/default.nix index 4b3a7e11f3b..345f7eae9fc 100644 --- a/pkgs/applications/editors/eclipse/default.nix +++ b/pkgs/applications/editors/eclipse/default.nix @@ -13,10 +13,10 @@ assert stdenv ? glibc; let platform_major = "4"; - platform_minor = "14"; - year = "2019"; - month = "12"; - timestamp = "201912100610"; + platform_minor = "15"; + year = "2020"; + month = "03"; + timestamp = "${year}${month}050155"; in rec { buildEclipse = import ./build-eclipse.nix { @@ -32,8 +32,8 @@ in rec { description = "Eclipse IDE for C/C++ Developers"; src = fetchurl { - url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-cpp-${year}-${month}-R-linux-gtk-x86_64.tar.gz"; - sha512 = "28h8z45j7zlcbvvabzsniwqls1lns21isx69y6l207a869rknp9vzg6506q6zalj9b49j8c7ynkn379xgbzp07i6zw3dzk3pqp2rgam"; + url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-cpp-${year}-${month}-R-incubation-linux-gtk-x86_64.tar.gz"; + sha512 = "2wy4a3p347fajr9zsfz1zlvz6jpy3vficdry27m5fs0azfmxmy2cfns5hh18sin4xqq3jvqppfqxh41rzcpcmiq12zhc6cz42brqgxw"; }; }; @@ -45,7 +45,7 @@ in rec { src = fetchurl { url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-modeling-${year}-${month}-R-linux-gtk-x86_64.tar.gz"; - sha512 = "1g1zsz3c2kx4vs1mjpcisbk81lk4hsr1z2fw46lih825c53vwf59snp8d97c8yw2i25y0ml48nc1nskib6qnif8m2h6rpah7kgmi8ay"; + sha512 = "0qccsclay9000sqrymm8hkg70a4jcvd70vymw1kkxsklcs7dnrhch55an98gbzf9r0jgd1ap62a4hyxlnm6hdqqniwcgdza0i4nwwgj"; }; }; @@ -57,7 +57,7 @@ in rec { src = fetchurl { url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/eclipse/downloads/drops${platform_major}/R-${platform_major}.${platform_minor}-${timestamp}/eclipse-platform-${platform_major}.${platform_minor}-linux-gtk-x86_64.tar.gz"; - sha512 = "05nsldw937l1g9fj964njivgkf2ipk1rh1jg5w8svdhpp3v1pp3iinfm2mz9kk8namwfkx8krsvsxcgvqyzgrkhf42wqh53vqrjf70h"; + sha512 = "01rv5x7qqm0a2p30828z2snms3nb2kjx9si63sr5rdkdgr3vbh6xq8n8fn757dqazmpz9zskmwxxmbxnwycfllhgb8msb77pcy3fpg7"; }; }; @@ -87,7 +87,7 @@ in rec { src = fetchurl { url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/eclipse/downloads/drops${platform_major}/R-${platform_major}.${platform_minor}-${timestamp}/eclipse-SDK-${platform_major}.${platform_minor}-linux-gtk-x86_64.tar.gz"; - sha512 = "0dcbxzjqc27v1faz16yxqcm6zrbna4kkd32xy7paadiwn125y6ijx8zvda4kc7bih6v5b9ch2i0z5ndra1lcjcc88z6cklh0vngjkh1"; + sha512 = "33ra8qslwz73240xzjvr751lpl94drlcf425a7kxngq1qla2cda7gxr71bxlr9fm2hrqq0h097ihmg0ix9hv2dmwnc76gp4hwwrlk41"; }; }; @@ -99,7 +99,7 @@ in rec { src = fetchurl { url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-java-${year}-${month}-R-linux-gtk-x86_64.tar.gz"; - sha512 = "21lhgv3z23mn8q0gffgxlfwhyxb348zjnzv716zsys7h7kj5vigl45q9mz0qrl11524rxx7jwi901jjd4l258w9kp7wzlq0d5n1r39m"; + sha512 = "0ffa1q19z31j8i552mp9zg4v0p4iv002cvlzh49ia8hi0hgk75pbkp6vxlr75jz0as03n71f0ww8xbflji31qgwfmy6rs1rzqihfff9"; }; }; diff --git a/pkgs/applications/editors/eclipse/plugins.nix b/pkgs/applications/editors/eclipse/plugins.nix index 87c32c30e19..a60b1a2ae51 100644 --- a/pkgs/applications/editors/eclipse/plugins.nix +++ b/pkgs/applications/editors/eclipse/plugins.nix @@ -254,12 +254,12 @@ rec { cdt = buildEclipseUpdateSite rec { name = "cdt-${version}"; - version = "9.10.0"; + version = "9.11.0"; src = fetchzip { stripRoot = false; - url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/tools/cdt/releases/9.10/${name}/${name}.zip"; - sha256 = "11nbrcvgbg9l3cmp3v3y8y0vldzcf6qlpp185a6dzabdcij6gz5m"; + url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/tools/cdt/releases/9.11/${name}/${name}.zip"; + sha256 = "1730w6rbv649nzfalfd10p2ph0z9rbrrcflga0n1dpmg181xh9lk"; }; meta = with stdenv.lib; { @@ -474,12 +474,12 @@ rec { jdt = buildEclipseUpdateSite rec { name = "jdt-${version}"; - version = "4.14"; + version = "4.15"; src = fetchzip { stripRoot = false; - url = https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/eclipse/downloads/drops4/R-4.14-201912100610/org.eclipse.jdt-4.14.zip; - sha256 = "1c2a23qviv58xljpq3yb37ra8cqw7jh52hmzqlg1nij2sdxb6hm5"; + url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/eclipse/downloads/drops4/R-${version}-202003050155/org.eclipse.jdt-${version}.zip"; + sha256 = "1dm4qgfb6rm7w0dk8br071c7wy0ybp7zrwvr3i02c2bxzy2psz7q"; }; meta = with stdenv.lib; { diff --git a/pkgs/applications/editors/nano/default.nix b/pkgs/applications/editors/nano/default.nix index 4197792d736..3350f6a3db3 100644 --- a/pkgs/applications/editors/nano/default.nix +++ b/pkgs/applications/editors/nano/default.nix @@ -20,11 +20,11 @@ let in stdenv.mkDerivation rec { pname = "nano"; - version = "4.7"; + version = "4.9"; src = fetchurl { url = "mirror://gnu/nano/${pname}-${version}.tar.xz"; - sha256 = "1x9nqy2kgaz6087p63i71gdjsqbdc9jjpx1ymlyclfakvsby3h2q"; + sha256 = "19ik88b3g0d9xwav4hkai2h1acmjy5fdnh21gdc1mjq5s4lrff8f"; }; nativeBuildInputs = [ texinfo ] ++ optional enableNls gettext; diff --git a/pkgs/applications/editors/quartus-prime/default.nix b/pkgs/applications/editors/quartus-prime/default.nix index 6a6ea80ca45..093635b10e4 100644 --- a/pkgs/applications/editors/quartus-prime/default.nix +++ b/pkgs/applications/editors/quartus-prime/default.nix @@ -1,25 +1,58 @@ -{ buildFHSUserEnv, makeDesktopItem, stdenv, lib, requireFile, unstick, cycloneVSupport ? true }: +{ buildFHSUserEnv, makeDesktopItem, writeScript, stdenv, lib, requireFile, unstick, + supportedDevices ? [ "Arria II" "Cyclone V" "Cyclone IV" "Cyclone 10 LP" "MAX II/V" "MAX 10 FPGA" ] }: let + deviceIds = { + "Arria II" = "arria_lite"; + "Cyclone V" = "cyclonev"; + "Cyclone IV" = "cyclone"; + "Cyclone 10 LP" = "cyclone10lp"; + "MAX II/V" = "max"; + "MAX 10 FPGA" = "max10"; + }; + + supportedDeviceIds = + assert lib.assertMsg (lib.all (name: lib.hasAttr name deviceIds) supportedDevices) + "Supported devices are: ${lib.concatStringsSep ", " (lib.attrNames deviceIds)}"; + lib.listToAttrs (map (name: { + inherit name; + value = deviceIds.${name}; + }) supportedDevices); + + unsupportedDeviceIds = lib.filterAttrs (name: value: + !(lib.hasAttr name supportedDeviceIds) + ) deviceIds; + quartus = stdenv.mkDerivation rec { version = "19.1.0.670"; - pname = "quartus-prime-lite"; + pname = "quartus-prime-lite-unwrapped"; src = let require = {name, sha256}: requireFile { inherit name sha256; url = "${meta.homepage}/${lib.versions.majorMinor version}/?edition=lite&platform=linux"; }; + + hashes = { + "arria_lite" = "1flj9w0vb2p9f9zll136izr6qvmxn0lg72bvaqxs3sxc9vj06wm1"; + "cyclonev" = "0bqxpvjgph0y6slk0jq75mcqzglmqkm0jsx10y9xz5llm6zxzqab"; + "cyclone" = "0pzs8y4s3snxg4g6lrb21qi88abm48g279xzd98qv17qxb2z82rr"; + "cyclone10lp" = "1ccxq8n20y40y47zddkijcv41w3cddvydddr3m4844q31in3nxha"; + "max" = "1cxzbqscxvlcy74dpqmvlnxjyyxfwcx3spygpvpwi6dfj3ipgm2z"; + "max10" = "14k83javivbk65mpb17wdwsyb8xk7x9gzj9x0wnd24mmijrvdy9s"; + }; + + devicePackages = map (id: { + name = "${id}-${version}.qdz"; + sha256 = lib.getAttr id hashes; + }) (lib.attrValues supportedDeviceIds); in map require ([{ name = "QuartusLiteSetup-${version}-linux.run"; sha256 = "15vxvqxqdk29ahlw3lkm1nzxyhzy4626wb9s5f2h6sjgq64r8m7f"; } { name = "ModelSimSetup-${version}-linux.run"; sha256 = "0j1vfr91jclv88nam2plx68arxmz4g50sqb840i60wqd5b0l3y6r"; - }] ++ lib.optional cycloneVSupport { - name = "cyclonev-${version}.qdz"; - sha256 = "0bqxpvjgph0y6slk0jq75mcqzglmqkm0jsx10y9xz5llm6zxzqab"; - }); + }] ++ devicePackages); nativeBuildInputs = [ unstick ]; @@ -37,27 +70,22 @@ let disabledComponents = [ "quartus_help" "quartus_update" + # not modelsim_ase "modelsim_ae" - # Devices - "arria_lite" - "cyclone" - "cyclone10lp" - "max" - "max10" - ] ++ lib.optional (!cycloneVSupport) "cyclonev"; + ] ++ (lib.attrValues unsupportedDeviceIds); in '' - ${lib.concatMapStringsSep "\n" copyInstaller installers} - ${lib.concatMapStringsSep "\n" copyComponent components} + ${lib.concatMapStringsSep "\n" copyInstaller installers} + ${lib.concatMapStringsSep "\n" copyComponent components} - unstick $TEMP/${(builtins.head installers).name} \ - --disable-components ${lib.concatStringsSep "," disabledComponents} \ - --mode unattended --installdir $out --accept_eula 1 + unstick $TEMP/${(builtins.head installers).name} \ + --disable-components ${lib.concatStringsSep "," disabledComponents} \ + --mode unattended --installdir $out --accept_eula 1 - # This patch is from https://wiki.archlinux.org/index.php/Altera_Design_Software - patch --force --strip 0 --directory $out < ${./vsim.patch} + # This patch is from https://wiki.archlinux.org/index.php/Altera_Design_Software + patch --force --strip 0 --directory $out < ${./vsim.patch} - rm -r $out/uninstall $out/logs - ''; + rm -r $out/uninstall $out/logs + ''; meta = { homepage = "https://fpgasoftware.intel.com"; @@ -69,17 +97,17 @@ let }; desktopItem = makeDesktopItem { - name = quartus.name; + name = "quartus-prime-lite"; exec = "quartus"; icon = "quartus"; desktopName = "Quartus"; - genericName = "Quartus FPGA IDE"; + genericName = "Quartus Prime"; categories = "Development;"; }; # I think modelsim_ase/linux/vlm checksums itself, so use FHSUserEnv instead of `patchelf` -in buildFHSUserEnv { - name = "quartus-prime-lite"; +in buildFHSUserEnv rec { + name = "quartus-prime-lite"; # wrapped targetPkgs = pkgs: with pkgs; [ # quartus requirements @@ -110,10 +138,43 @@ in buildFHSUserEnv { xorg.libXrender ]; - extraInstallCommands = '' - mkdir -p $out/share/applications - cp ${desktopItem}/share/applications/* $out/share/applications + passthru = { + unwrapped = quartus; + }; + + extraInstallCommands = let + quartusExecutables = (map (c: "quartus/bin/quartus_${c}") [ + "asm" "cdb" "cpf" "drc" "eda" "fit" "jbcc" "jli" "map" "pgm" "pow" + "sh" "si" "sim" "sta" "stp" "tan" + ]) ++ [ "quartus/bin/quartus" ]; + + qsysExecutables = map (c: "quartus/sopc_builder/bin/qsys-${c}") [ + "generate" "edit" "script" + ]; + # Should we install all executables ? + modelsimExecutables = map (c: "modelsim_ase/bin/${c}") [ + "vsim" "vlog" "vlib" + ]; + in '' + mkdir -p $out/share/applications $out/share/icons/128x128 + ln -s ${desktopItem}/share/applications/* $out/share/applications + ln -s ${quartus}/licenses/images/dc_quartus_panel_logo.png $out/share/icons/128x128/quartus.png + + mkdir -p $out/quartus/bin $out/quartus/sopc_builder/bin $out/modelsim_ase/bin + WRAPPER=$out/bin/${name} + EXECUTABLES="${lib.concatStringsSep " " (quartusExecutables ++ qsysExecutables ++ modelsimExecutables)}" + for executable in $EXECUTABLES; do + echo "#!${stdenv.shell}" >> $out/$executable + echo "$WRAPPER ${quartus}/$executable \$@" >> $out/$executable + done + + cd $out + chmod +x $EXECUTABLES + # link into $out/bin so executables become available on $PATH + ln --symbolic --relative --target-directory ./bin $EXECUTABLES ''; - runScript = "${quartus}/quartus/bin/quartus"; + runScript = writeScript "${name}-wrapper" '' + exec $@ + ''; } diff --git a/pkgs/applications/gis/qgis/unwrapped.nix b/pkgs/applications/gis/qgis/unwrapped.nix index de436088fa7..80115ab8243 100644 --- a/pkgs/applications/gis/qgis/unwrapped.nix +++ b/pkgs/applications/gis/qgis/unwrapped.nix @@ -10,7 +10,7 @@ let [ qscintilla-qt5 gdal jinja2 numpy psycopg2 chardet dateutil pyyaml pytz requests urllib3 pygments pyqt5 sip owslib six ]; in mkDerivation rec { - version = "3.10.1"; + version = "3.10.4"; pname = "qgis"; name = "${pname}-unwrapped-${version}"; @@ -18,7 +18,7 @@ in mkDerivation rec { owner = "qgis"; repo = "QGIS"; rev = "final-${lib.replaceStrings ["."] ["_"] version}"; - sha256 = "0xq0nnp7zdqaihqvh5rsi1129g23vnk5ijkpxfzaggafgmhf5hgz"; + sha256 = "0d1rsgjgnnq6jgms5bgppz8lkh4518nf90fk0qvxajdfi9j4jn12"; }; passthru = { diff --git a/pkgs/applications/misc/cheat/default.nix b/pkgs/applications/misc/cheat/default.nix index d4d0643bfdc..ffe2759a5e7 100644 --- a/pkgs/applications/misc/cheat/default.nix +++ b/pkgs/applications/misc/cheat/default.nix @@ -2,23 +2,23 @@ buildGoModule rec { pname = "cheat"; - version = "3.0.7"; + version = "3.8.0"; src = fetchFromGitHub { - owner = "chrisallenlane"; + owner = "cheat"; repo = "cheat"; rev = version; - sha256 = "0i5j85ciimk14kndb81qxny1ksr57sr9xdvjn7x1ibc7h6pikjn5"; + sha256 = "062dlc54x9qwb3hsxp20h94dpwsa1nzpjln9cqmvwjhvp434l97r"; }; subPackages = [ "cmd/cheat" ]; - modSha256 = "1v9hvxygwvqma2j5yz7r95g34xpwb0n29hm39i89vgmvl3hy67s0"; + modSha256 = "1is19qca5wgzya332rmpk862nnivxzgxchkllv629f5fwwdvdgmg"; meta = with stdenv.lib; { description = "Create and view interactive cheatsheets on the command-line"; maintainers = with maintainers; [ mic92 ]; license = with licenses; [ gpl3 mit ]; - homepage = "https://github.com/chrisallenlane/cheat"; + inherit (src.meta) homepage; }; } diff --git a/pkgs/applications/misc/dbeaver/default.nix b/pkgs/applications/misc/dbeaver/default.nix index 9ff70af73dd..1334813131b 100644 --- a/pkgs/applications/misc/dbeaver/default.nix +++ b/pkgs/applications/misc/dbeaver/default.nix @@ -7,7 +7,7 @@ stdenv.mkDerivation rec { pname = "dbeaver-ce"; - version = "7.0.0"; + version = "7.0.1"; desktopItem = makeDesktopItem { name = "dbeaver"; @@ -30,7 +30,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "https://dbeaver.io/files/${version}/dbeaver-ce-${version}-linux.gtk.x86_64.tar.gz"; - sha256 = "1fnvwndzny51z0zmdnlafdcxawsyz435g712mc4bjjj29qy0inzm"; + sha256 = "1kq0ingzfl6q2yz3y5nj9k35y9f1izg1idgbgvpz784gn7937m64"; }; installPhase = '' @@ -55,7 +55,7 @@ stdenv.mkDerivation rec { ''; meta = with stdenv.lib; { - homepage = https://dbeaver.io/; + homepage = "https://dbeaver.io/"; description = "Universal SQL Client for developers, DBA and analysts. Supports MySQL, PostgreSQL, MariaDB, SQLite, and more"; longDescription = '' Free multi-platform database tool for developers, SQL programmers, database diff --git a/pkgs/applications/misc/hugo/default.nix b/pkgs/applications/misc/hugo/default.nix index e93812f6c0d..9509e895109 100644 --- a/pkgs/applications/misc/hugo/default.nix +++ b/pkgs/applications/misc/hugo/default.nix @@ -2,13 +2,13 @@ buildGoModule rec { pname = "hugo"; - version = "0.68.0"; + version = "0.68.1"; src = fetchFromGitHub { owner = "gohugoio"; repo = pname; rev = "v${version}"; - sha256 = "1jdk4mwflxm7n076x4gbqb362z4qriszq8fkc0sjsvd05z613ry6"; + sha256 = "1h7zymvxk71jq51az4qnldk54jl9sd4zwkn5r5323xzjffwzny82"; }; modSha256 = "04vzm65kbj9905z4cf5yh6yc6g3b0pd5vc00lrxw84pwgqgc0ykb"; diff --git a/pkgs/applications/misc/jotta-cli/default.nix b/pkgs/applications/misc/jotta-cli/default.nix index 48f369d3029..1756aefe19a 100644 --- a/pkgs/applications/misc/jotta-cli/default.nix +++ b/pkgs/applications/misc/jotta-cli/default.nix @@ -5,10 +5,10 @@ let in stdenv.mkDerivation rec { pname = "jotta-cli"; - version = "0.6.21799"; + version = "0.6.24251"; src = fetchzip { url = "https://repo.jotta.us/archives/linux/${arch}/jotta-cli-${version}_linux_${arch}.tar.gz"; - sha256 = "19axrcfmycmdfgphkfwl9qgwd9xj8g37gmwi4ynb45w7nhfid5vm"; + sha256 = "0f26fg5fqpz0f6jxp72cj5f2kf76jah5iaqlqsl87250y0hm330g"; stripRoot = false; }; diff --git a/pkgs/applications/misc/kitty/default.nix b/pkgs/applications/misc/kitty/default.nix index f5553cac6b5..6860f04f99c 100644 --- a/pkgs/applications/misc/kitty/default.nix +++ b/pkgs/applications/misc/kitty/default.nix @@ -20,14 +20,14 @@ with python3Packages; buildPythonApplication rec { pname = "kitty"; - version = "0.16.0"; + version = "0.17.1"; format = "other"; src = fetchFromGitHub { owner = "kovidgoyal"; repo = "kitty"; rev = "v${version}"; - sha256 = "1bszyddar0g1gdz67h8rd3gbrdhi6ahjg7j14cjiqxm1938z9ajf"; + sha256 = "1ydli1phgcy17iz6jxgixn8yc86dp8q2yfxk08c8lwh7gxjnjz7f"; }; buildInputs = [ @@ -72,7 +72,6 @@ buildPythonApplication rec { }) ] ++ stdenv.lib.optionals stdenv.isDarwin [ ./no-lto.patch - ./png2icns.patch ]; # Causes build failure due to warning @@ -106,6 +105,7 @@ buildPythonApplication rec { mkdir -p "$out/share/"{bash-completion/completions,fish/vendor_completions.d,zsh/site-functions} "$out/bin/kitty" + complete setup fish > "$out/share/fish/vendor_completions.d/kitty.fish" "$out/bin/kitty" + complete setup bash > "$out/share/bash-completion/completions/kitty.bash" + "$out/bin/kitty" + complete setup zsh > "$out/share/zsh/site-functions/_kitty" ''; postInstall = '' diff --git a/pkgs/applications/misc/kitty/no-lto.patch b/pkgs/applications/misc/kitty/no-lto.patch index 44d231cb07f..8073c11fbd2 100644 --- a/pkgs/applications/misc/kitty/no-lto.patch +++ b/pkgs/applications/misc/kitty/no-lto.patch @@ -1,12 +1,13 @@ --- a/setup.py +++ b/setup.py -@@ -233,9 +233,6 @@ def init_env( +@@ -277,10 +277,6 @@ def init_env( cppflags += shlex.split(os.environ.get('CPPFLAGS', '')) cflags += shlex.split(os.environ.get('CFLAGS', '')) ldflags += shlex.split(os.environ.get('LDFLAGS', '')) - if not debug and not sanitize: - # See https://github.com/google/sanitizers/issues/647 -- cflags.append('-flto'), ldflags.append('-flto') +- cflags.append('-flto') +- ldflags.append('-flto') if profile: cppflags.append('-DWITH_PROFILER') diff --git a/pkgs/applications/misc/kitty/png2icns.patch b/pkgs/applications/misc/kitty/png2icns.patch deleted file mode 100644 index 68566e2a899..00000000000 --- a/pkgs/applications/misc/kitty/png2icns.patch +++ /dev/null @@ -1,21 +0,0 @@ -diff -aru a/setup.py b/setup.py ---- a/setup.py 2019-07-29 11:09:32.000000000 -0400 -+++ b/setup.py 2019-07-29 11:11:37.000000000 -0400 -@@ -784,9 +784,15 @@ - def create_macos_app_icon(where='Resources'): - logo_dir = os.path.abspath(os.path.join('logo', appname + '.iconset')) - subprocess.check_call([ -- 'iconutil', '-c', 'icns', logo_dir, '-o', -+ 'png2icns', - os.path.join(where, os.path.basename(logo_dir).partition('.')[0] + '.icns') -- ]) -+ ] + [os.path.join(logo_dir, logo) for logo in [ -+ 'icon_128x128.png', -+ 'icon_16x16.png', -+ 'icon_256x256.png', -+ 'icon_32x32.png', -+ 'icon_512x512.png', -+ ]]) - - - def create_minimal_macos_bundle(args, where): diff --git a/pkgs/applications/misc/minder/default.nix b/pkgs/applications/misc/minder/default.nix index 7b6be997ffa..baa8f69cfac 100644 --- a/pkgs/applications/misc/minder/default.nix +++ b/pkgs/applications/misc/minder/default.nix @@ -8,13 +8,13 @@ stdenv.mkDerivation rec { pname = "minder"; - version = "1.6.0"; + version = "1.7.1"; src = fetchFromGitHub { owner = "phase1geo"; repo = pname; rev = version; - sha256 = "0zma6hjx0068ih7fagb1gg5cgci0ccc764sd8qw6iglg61aihpx7"; + sha256 = "0y30gdnx270m857iijhgdv7a2nqxmmd8w6kfhd80763ygk17xk1r"; }; nativeBuildInputs = [ pkgconfig meson ninja python3 wrapGAppsHook vala shared-mime-info ]; diff --git a/pkgs/applications/misc/moolticute/default.nix b/pkgs/applications/misc/moolticute/default.nix index e3154b7cd1c..0a54bc98bb9 100644 --- a/pkgs/applications/misc/moolticute/default.nix +++ b/pkgs/applications/misc/moolticute/default.nix @@ -9,13 +9,13 @@ mkDerivation rec { pname = "moolticute"; - version = "0.42.32-testing"; + version = "0.43.3"; src = fetchFromGitHub { owner = "mooltipass"; repo = pname; rev = "v${version}"; - sha256 = "1kx1p2h65dilj1pbzf36d1mxwym19kvln1sqg8fb7na8q7lk4b05"; + sha256 = "0kl7wksiqmy0hqbg6xwmzqfn3l17if2hiw7xc9x067x9rviyxrl3"; }; outputs = [ "out" "udev" ]; diff --git a/pkgs/applications/misc/opentx/default.nix b/pkgs/applications/misc/opentx/default.nix index 370f88d7b47..53d6e398cf0 100644 --- a/pkgs/applications/misc/opentx/default.nix +++ b/pkgs/applications/misc/opentx/default.nix @@ -1,50 +1,38 @@ -{ stdenv, fetchFromGitHub -, cmake, gcc-arm-embedded, binutils-arm-embedded, python -, qt5, SDL, gtest +{ stdenv, mkDerivation, fetchFromGitHub +, cmake, gcc-arm-embedded, python3Packages +, qtbase, qtmultimedia, qttranslations, SDL, gtest , dfu-util, avrdude }: -let - - version = "2.2.1"; - -in stdenv.mkDerivation { - +mkDerivation rec { pname = "opentx"; - inherit version; + version = "2.3.5"; src = fetchFromGitHub { owner = "opentx"; repo = "opentx"; rev = version; - sha256 = "01lnnkrxach21aivnx1k1iqhih02nixh8c4nk6rpw408p13him9g"; + sha256 = "18iv3c74y9fpp1045s2l7l2dqfn9riyagrwmfwp2mmf2ccsrwz2g"; }; enableParallelBuilding = true; - nativeBuildInputs = [ - cmake - gcc-arm-embedded binutils-arm-embedded - ]; + nativeBuildInputs = [ cmake gcc-arm-embedded python3Packages.pillow ]; - buildInputs = with qt5; [ - python python.pkgs.pyqt4 - qtbase qtmultimedia qttranslations - SDL - ]; + buildInputs = [ qtbase qtmultimedia qttranslations SDL ]; postPatch = '' - sed -i companion/src/burnconfigdialog.cpp -e 's|/usr/.*bin/dfu-util|${dfu-util}/bin/dfu-util|' - sed -i companion/src/burnconfigdialog.cpp -e 's|/usr/.*bin/avrdude|${avrdude}/bin/avrdude|' + sed -i companion/src/burnconfigdialog.cpp \ + -e 's|/usr/.*bin/dfu-util|${dfu-util}/bin/dfu-util|' \ + -e 's|/usr/.*bin/avrdude|${avrdude}/bin/avrdude|' ''; cmakeFlags = [ "-DGTEST_ROOT=${gtest.src}/googletest" - "-DQT_TRANSLATIONS_DIR=${qt5.qttranslations}/translations" + "-DQT_TRANSLATIONS_DIR=${qttranslations}/translations" # XXX I would prefer to include these here, though we will need to file a bug upstream to get that changed. #"-DDFU_UTIL_PATH=${dfu-util}/bin/dfu-util" #"-DAVRDUDE_PATH=${avrdude}/bin/avrdude" - "-DNANO=NO" ]; meta = with stdenv.lib; { @@ -54,11 +42,10 @@ in stdenv.mkDerivation { firmware to the radio, backing up model settings, editing settings and running radio simulators. ''; - homepage = https://open-tx.org/; - license = stdenv.lib.licenses.gpl2; - platforms = [ "i686-linux" "x86_64-linux" ]; - maintainers = with maintainers; [ elitak ]; - broken = true; + homepage = "https://www.open-tx.org/"; + license = licenses.gpl2; + platforms = [ "i686-linux" "x86_64-linux" "aarch64-linux" ]; + maintainers = with maintainers; [ elitak lopsided98 ]; }; } diff --git a/pkgs/applications/misc/pueue/default.nix b/pkgs/applications/misc/pueue/default.nix index aac371164fa..76350773b32 100644 --- a/pkgs/applications/misc/pueue/default.nix +++ b/pkgs/applications/misc/pueue/default.nix @@ -1,23 +1,19 @@ -{ lib, rustPlatform, fetchFromGitHub, installShellFiles }: +{ lib, rustPlatform, fetchFromGitHub }: rustPlatform.buildRustPackage rec { pname = "pueue"; - version = "0.1.6"; + version = "0.2.1"; src = fetchFromGitHub { owner = "Nukesor"; repo = pname; rev = "v${version}"; - sha256 = "1qp9h1xlfxwswcqi1qn2hfybxl547z13xjbvfgsx1nc8yj51bi3c"; + sha256 = "1yx69pwdal0p5dfhabjdns9z6z3fa41wh7bxa4dpsjx37ziglcsp"; }; - nativeBuildInputs = [ installShellFiles ]; + cargoSha256 = "1ksr5fw9p3j1bnlgfimb5nsryb4si8ic2x4prsra1mwkc91hr7x3"; - cargoSha256 = "00va292bjdp42bkqdkjqajmzc2nshhqa1fj0yfwdf3rrx4nhssjd"; - - postInstall = '' - installShellCompletion utils/completions/pueue.{bash,fish} --zsh utils/completions/_pueue - ''; + checkPhase = "cargo test -- --skip test_single_huge_payload"; meta = with lib; { description = "A daemon for managing long running shell commands"; diff --git a/pkgs/applications/misc/stretchly/default.nix b/pkgs/applications/misc/stretchly/default.nix index 75f3be85dad..9769045b9ce 100644 --- a/pkgs/applications/misc/stretchly/default.nix +++ b/pkgs/applications/misc/stretchly/default.nix @@ -1,177 +1,38 @@ -{ GConf -, alsaLib -, at-spi2-atk -, at-spi2-core -, atk -, buildFHSUserEnv -, cairo +{ stdenv, lib, fetchurl, makeWrapper, wrapGAppsHook, electron , common-updater-scripts -, coreutils -, cups -, dbus -, expat -, fetchurl -, fontconfig -, gdk-pixbuf -, glib -, gtk2 -, gtk3 -, lib -, libX11 -, libXScrnSaver -, libXcomposite -, libXcursor -, libXdamage -, libXext -, libXfixes -, libXi -, libXrandr -, libXrender -, libXtst -, libappindicator -, libdrm -, libnotify -, libpciaccess -, libpng12 -, libuuid -, libxcb -, nspr -, nss -, pango -, pciutils -, pulseaudio -, runtimeShell -, stdenv -, udev -, wrapGAppsHook -, writeScript -, file +, writeShellScript }: -let - libs = [ - GConf - alsaLib - at-spi2-atk - at-spi2-core - atk - cairo - cups - dbus - expat - fontconfig - gdk-pixbuf - glib - gtk2 - gtk3 - libX11 - libXScrnSaver - libXcomposite - libXcursor - libXdamage - libXext - libXfixes - libXi - libXrandr - libXrender - libXtst - libappindicator - libdrm - libnotify - libpciaccess - libpng12 - libuuid - libxcb - nspr - nss - pango - pciutils - pulseaudio - stdenv.cc.cc.lib - udev - ]; - - libPath = lib.makeLibraryPath libs; - - stretchly = - stdenv.mkDerivation rec { - pname = "stretchly"; - version = "0.21.0"; - - src = fetchurl { - url = "https://github.com/hovancik/stretchly/releases/download/v${version}/stretchly-${version}.tar.xz"; - sha256 = "1gyyr22xq8s4miiacs8wqhp7lxnwvkvlwhngnq8671l62s6iyjzl"; - }; - - nativeBuildInputs = [ - wrapGAppsHook - coreutils - ]; - - buildInputs = libs; - - dontPatchELF = true; - dontBuild = true; - dontConfigure = true; - - installPhase = '' - mkdir -p $out/bin $out/lib/stretchly - cp -r ./* $out/lib/stretchly/ - ln -s $out/lib/stretchly/stretchly $out/bin/ - ''; +stdenv.mkDerivation rec { + pname = "stretchly"; + version = "0.21.1"; - preFixup = '' - patchelf --set-rpath "${libPath}" $out/lib/stretchly/libffmpeg.so - patchelf --set-rpath "${libPath}" $out/lib/stretchly/libEGL.so - patchelf --set-rpath "${libPath}" $out/lib/stretchly/libGLESv2.so - patchelf --set-rpath "${libPath}" $out/lib/stretchly/swiftshader/libEGL.so - patchelf --set-rpath "${libPath}" $out/lib/stretchly/swiftshader/libGLESv2.so - - patchelf \ - --set-rpath "$out/lib/stretchly:${libPath}" \ - --set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \ - $out/lib/stretchly/stretchly - - patchelf \ - --set-rpath "$out/lib/stretchly:${libPath}" \ - --set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \ - $out/lib/stretchly/chrome-sandbox - ''; + src = fetchurl { + url = "https://github.com/hovancik/stretchly/releases/download/v${version}/stretchly-${version}.tar.xz"; + sha256 = "0776pywyqylwd33m85l4wdr89x0q9xkrjgliag10fp1bswz844lf"; + }; - meta = with stdenv.lib; { - description = "A break time reminder app"; - longDescription = '' - stretchly is a cross-platform electron app that reminds you to take - breaks when working on your computer. By default, it runs in your tray - and displays a reminder window containing an idea for a microbreak for 20 - seconds every 10 minutes. Every 30 minutes, it displays a window - containing an idea for a longer 5 minute break. - ''; - homepage = https://hovancik.net/stretchly; - downloadPage = https://hovancik.net/stretchly/downloads/; - license = licenses.bsd2; - maintainers = with maintainers; [ cdepillabout ]; - platforms = platforms.linux; - }; - }; + nativeBuildInputs = [ + wrapGAppsHook + ]; -in + installPhase = '' + runHook preInstall -buildFHSUserEnv { - inherit (stretchly) meta; + mkdir -p $out/bin $out/share/${pname}/ + mv resources/app.asar $out/share/${pname}/ - name = "stretchly"; + makeWrapper ${electron}/bin/electron $out/bin/${pname} \ + --add-flags $out/share/${pname}/app.asar \ + "''${gappsWrapperArgs[@]}" \ + --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ stdenv.cc.cc.lib ]}" - targetPkgs = pkgs: [ - stretchly - ]; + runHook postInstall + ''; - runScript = "stretchly"; passthru = { - updateScript = writeScript "update-stretchly" '' - #!${runtimeShell} - + updateScript = writeShellScript "update-stretchly" '' set -eu -o pipefail # get the latest release version @@ -179,9 +40,23 @@ buildFHSUserEnv { echo "updating to $latest_version..." - ${common-updater-scripts}/bin/update-source-version stretchly.passthru.stretchlyWrapped "$latest_version" + ${common-updater-scripts}/bin/update-source-version stretchly "$latest_version" ''; + }; - stretchlyWrapped = stretchly; + meta = with stdenv.lib; { + description = "A break time reminder app"; + longDescription = '' + stretchly is a cross-platform electron app that reminds you to take + breaks when working on your computer. By default, it runs in your tray + and displays a reminder window containing an idea for a microbreak for 20 + seconds every 10 minutes. Every 30 minutes, it displays a window + containing an idea for a longer 5 minute break. + ''; + homepage = https://hovancik.net/stretchly; + downloadPage = https://hovancik.net/stretchly/downloads/; + license = licenses.bsd2; + maintainers = with maintainers; [ cdepillabout ]; + platforms = platforms.linux; }; } diff --git a/pkgs/applications/misc/syncthingtray/default.nix b/pkgs/applications/misc/syncthingtray/default.nix index 610409af7a7..20dd3d6a3c6 100644 --- a/pkgs/applications/misc/syncthingtray/default.nix +++ b/pkgs/applications/misc/syncthingtray/default.nix @@ -20,14 +20,14 @@ }: mkDerivation rec { - version = "0.10.6"; + version = "0.10.7"; pname = "syncthingtray"; src = fetchFromGitHub { owner = "Martchus"; repo = "syncthingtray"; rev = "v${version}"; - sha256 = "1lh1qsdy5081jrs27ba0mfh90ya1fj9h6j5k0cdsfap9mcxyjd9g"; + sha256 = "0qix22wblakpxwqy63378p5rksnx2ik9gfw0c6za19mzhx7gwln8"; }; buildInputs = [ qtbase cpp-utilities qtutilities ] diff --git a/pkgs/applications/misc/visidata/default.nix b/pkgs/applications/misc/visidata/default.nix index 64645e00bd8..cc88cb1751e 100644 --- a/pkgs/applications/misc/visidata/default.nix +++ b/pkgs/applications/misc/visidata/default.nix @@ -1,5 +1,16 @@ -{ buildPythonApplication, lib, fetchFromGitHub -, dateutil, pyyaml, openpyxl, xlrd, h5py, fonttools, lxml, pandas, pyshp +{ buildPythonApplication +, lib +, fetchFromGitHub +, dateutil +, pyyaml +, openpyxl +, xlrd +, h5py +, fonttools +, lxml +, pandas +, pyshp +, setuptools }: buildPythonApplication rec { pname = "visidata"; @@ -12,16 +23,26 @@ buildPythonApplication rec { sha256 = "19gs8i6chrrwibz706gib5sixx1cjgfzh7v011kp3izcrn524mc0"; }; - propagatedBuildInputs = [dateutil pyyaml openpyxl xlrd h5py fonttools - lxml pandas pyshp ]; + propagatedBuildInputs = [ + dateutil + pyyaml + openpyxl + xlrd + h5py + fonttools + lxml + pandas + pyshp + setuptools + ]; doCheck = false; meta = { inherit version; description = "Interactive terminal multitool for tabular data"; - license = lib.licenses.gpl3 ; - maintainers = [lib.maintainers.raskin]; + license = lib.licenses.gpl3; + maintainers = [ lib.maintainers.raskin ]; platforms = lib.platforms.linux; homepage = "http://visidata.org/"; }; diff --git a/pkgs/applications/misc/wofi/default.nix b/pkgs/applications/misc/wofi/default.nix index 659b7099943..3c14219b141 100644 --- a/pkgs/applications/misc/wofi/default.nix +++ b/pkgs/applications/misc/wofi/default.nix @@ -1,18 +1,28 @@ -{ stdenv, lib, fetchhg, pkg-config, meson, ninja, wayland, gtk3 }: +{ stdenv, lib, fetchhg, fetchpatch, pkg-config, meson, ninja, wayland, gtk3, wrapGAppsHook }: stdenv.mkDerivation rec { pname = "wofi"; - version = "1.1"; + version = "1.1.2"; src = fetchhg { url = "https://hg.sr.ht/~scoopta/wofi"; rev = "v${version}"; - sha256 = "0rq6c8fv0h7xj3jw1i01r39dz0f31k7jgf7hpgl6mlsyn0ddc80z"; + sha256 = "086j5wshawjbwdmmmldivfagc2rr7g5a2gk11l0snqqslm294xsn"; }; - nativeBuildInputs = [ pkg-config meson ninja ]; + nativeBuildInputs = [ pkg-config meson ninja wrapGAppsHook ]; buildInputs = [ wayland gtk3 ]; + # Fixes icon bug on NixOS. + # Will need to be removed on next release + # see https://todo.sr.ht/~scoopta/wofi/54 + patches = [ + (fetchpatch { + url = "https://paste.sr.ht/blob/1cbddafac3806afb203940c029e78ce8390d8f49"; + sha256 = "18960y9ajilrwwl6mjnrh6wj0sm4ivczmacck36p2dj9xd0n8vkh"; + }) + ]; + meta = with lib; { description = "A launcher/menu program for wlroots based wayland compositors such as sway"; homepage = "https://hg.sr.ht/~scoopta/wofi"; diff --git a/pkgs/applications/misc/xterm/default.nix b/pkgs/applications/misc/xterm/default.nix index 8dcd533b522..8842d01e706 100644 --- a/pkgs/applications/misc/xterm/default.nix +++ b/pkgs/applications/misc/xterm/default.nix @@ -3,14 +3,14 @@ }: stdenv.mkDerivation rec { - name = "xterm-351"; + name = "xterm-353"; src = fetchurl { urls = [ "ftp://ftp.invisible-island.net/xterm/${name}.tgz" "https://invisible-mirror.net/archives/xterm/${name}.tgz" ]; - sha256 = "05kf586my4irrzz2bxgmwjdvynyrg9ybhvfqmx29g70w4888l2kn"; + sha256 = "0s5pkfn4r8iy09s1q1y78zhnr9f3sm6wgbqir7azaqggkppd68g5"; }; buildInputs = diff --git a/pkgs/applications/misc/zathura/cb/default.nix b/pkgs/applications/misc/zathura/cb/default.nix index 7c2c8fb31ca..4e2d16819ba 100644 --- a/pkgs/applications/misc/zathura/cb/default.nix +++ b/pkgs/applications/misc/zathura/cb/default.nix @@ -6,7 +6,7 @@ stdenv.mkDerivation rec { version = "0.1.8"; src = fetchurl { - url = "https://pwmt.org/projects/zathura/plugins/download/${pname}-${version}.tar.xz"; + url = "https://pwmt.org/projects/${pname}/download/${pname}-${version}.tar.xz"; sha256 = "1i6cf0vks501cggwvfsl6qb7mdaf3sszdymphimfvnspw810faj5"; }; @@ -16,7 +16,7 @@ stdenv.mkDerivation rec { PKG_CONFIG_ZATHURA_PLUGINDIR = "lib/zathura"; meta = with lib; { - homepage = https://pwmt.org/projects/zathura-cb/; + homepage = "https://pwmt.org/projects/zathura-cb/"; description = "A zathura CB plugin"; longDescription = '' The zathura-cb plugin adds comic book support to zathura. diff --git a/pkgs/applications/misc/zathura/core/default.nix b/pkgs/applications/misc/zathura/core/default.nix index 99729125b63..44f200174d9 100644 --- a/pkgs/applications/misc/zathura/core/default.nix +++ b/pkgs/applications/misc/zathura/core/default.nix @@ -9,12 +9,12 @@ with stdenv.lib; stdenv.mkDerivation rec { - pname = "zathura-core"; - version = "0.4.4"; + pname = "zathura"; + version = "0.4.5"; src = fetchurl { - url = "https://git.pwmt.org/pwmt/zathura/-/archive/${version}/zathura-${version}.tar.gz"; - sha256 = "0v5klgr009rsxi41h73k0398jbgmgh37asvwz2w15i4fzmw89jgb"; + url = "https://pwmt.org/projects/${pname}/download/${pname}-${version}.tar.xz"; + sha256 = "0b3nrcvykkpv2vm99kijnic2gpfzva520bsjlihaxandzfm9ff8c"; }; outputs = [ "bin" "man" "dev" "out" ]; @@ -28,6 +28,8 @@ stdenv.mkDerivation rec { "-Dmanpages=enabled" "-Dconvert-icon=enabled" "-Dsynctex=enabled" + # Make sure tests are enabled for doCheck + "-Dtests=enabled" ]; nativeBuildInputs = [ diff --git a/pkgs/applications/misc/zathura/djvu/default.nix b/pkgs/applications/misc/zathura/djvu/default.nix index 54f68969d4f..954df5301e3 100644 --- a/pkgs/applications/misc/zathura/djvu/default.nix +++ b/pkgs/applications/misc/zathura/djvu/default.nix @@ -1,11 +1,12 @@ { stdenv, fetchurl, meson, ninja, pkgconfig, gtk, zathura_core, girara, djvulibre, gettext }: stdenv.mkDerivation rec { - name = "zathura-djvu-0.2.8"; + pname = "zathura-djvu"; + version = "0.2.9"; src = fetchurl { - url = "https://pwmt.org/projects/zathura/plugins/download/${name}.tar.xz"; - sha256 = "0axkv1crdxn0z44whaqp2ibkdqcykhjnxk7qzms0dp1b67an9rnh"; + url = "https://pwmt.org/projects/${pname}/download/${pname}-${version}.tar.xz"; + sha256 = "0062n236414db7q7pnn3ccg5111ghxj3407pn9ri08skxskgirln"; }; nativeBuildInputs = [ meson ninja pkgconfig ]; @@ -14,7 +15,7 @@ stdenv.mkDerivation rec { PKG_CONFIG_ZATHURA_PLUGINDIR = "lib/zathura"; meta = with stdenv.lib; { - homepage = https://pwmt.org/projects/zathura-djvu/; + homepage = "https://pwmt.org/projects/zathura-djvu/"; description = "A zathura DJVU plugin"; longDescription = '' The zathura-djvu plugin adds DjVu support to zathura by using the diff --git a/pkgs/applications/misc/zathura/pdf-mupdf/default.nix b/pkgs/applications/misc/zathura/pdf-mupdf/default.nix index d8c1364d395..82c94d48068 100644 --- a/pkgs/applications/misc/zathura/pdf-mupdf/default.nix +++ b/pkgs/applications/misc/zathura/pdf-mupdf/default.nix @@ -1,20 +1,13 @@ -{ stdenv, lib, meson, ninja, fetchFromGitHub +{ stdenv, lib, meson, ninja, fetchurl , pkgconfig, zathura_core, cairo , gtk-mac-integration, girara, mupdf }: stdenv.mkDerivation rec { version = "0.3.5"; pname = "zathura-pdf-mupdf"; - # pwmt.org server was down at the time of last update - # src = fetchurl { - # url = "https://pwmt.org/projects/zathura-pdf-mupdf/download/${name}.tar.xz"; - # sha256 = "1zbaqimav4wfgimpy3nfzl10qj7vyv23rdy2z5z7z93jwbp2rc2j"; - # }; - src = fetchFromGitHub { - owner = "pwmt"; - repo = "zathura-pdf-mupdf"; - rev = version; - sha256 = "0wb46hllykbi30ir69s8s23mihivqn13mgfdzawbsn2a21p8y4zl"; + src = fetchurl { + url = "https://pwmt.org/projects/${pname}/download/${pname}-${version}.tar.xz"; + sha256 = "1pjwsb7zwclxsvz229fl7y2saf1pv3ifwv3ay8viqxgrp9x3z9hq"; }; nativeBuildInputs = [ meson ninja pkgconfig ]; @@ -26,7 +19,7 @@ stdenv.mkDerivation rec { PKG_CONFIG_ZATHURA_PLUGINDIR= "lib/zathura"; meta = with lib; { - homepage = https://pwmt.org/projects/zathura-pdf-mupdf/; + homepage = "https://pwmt.org/projects/zathura-pdf-mupdf/"; description = "A zathura PDF plugin (mupdf)"; longDescription = '' The zathura-pdf-mupdf plugin adds PDF support to zathura by diff --git a/pkgs/applications/misc/zathura/pdf-poppler/default.nix b/pkgs/applications/misc/zathura/pdf-poppler/default.nix index 5b38555eda1..bafa293ad9c 100644 --- a/pkgs/applications/misc/zathura/pdf-poppler/default.nix +++ b/pkgs/applications/misc/zathura/pdf-poppler/default.nix @@ -1,12 +1,12 @@ { stdenv, lib, fetchurl, meson, ninja, pkgconfig, zathura_core, girara, poppler }: stdenv.mkDerivation rec { - version = "0.2.9"; pname = "zathura-pdf-poppler"; + version = "0.3.0"; src = fetchurl { - url = "https://git.pwmt.org/pwmt/zathura-pdf-poppler/-/archive/${version}/${pname}-${version}.tar.gz"; - sha256 = "0c15rnwh42m3ybrhax01bl36w0iynaq8xg6l08riml3cyljypi9l"; + url = "https://pwmt.org/projects/${pname}/download/${pname}-${version}.tar.xz"; + sha256 = "1vfl4vkyy3rf39r1sqaa7y8113bgkh2bkfq3nn2inis9mrykmk6m"; }; nativeBuildInputs = [ meson ninja pkgconfig zathura_core ]; @@ -15,7 +15,7 @@ stdenv.mkDerivation rec { PKG_CONFIG_ZATHURA_PLUGINDIR = "lib/zathura"; meta = with lib; { - homepage = https://pwmt.org/projects/zathura-pdf-poppler/; + homepage = "https://pwmt.org/projects/zathura-pdf-poppler/"; description = "A zathura PDF plugin (poppler)"; longDescription = '' The zathura-pdf-poppler plugin adds PDF support to zathura by diff --git a/pkgs/applications/misc/zathura/ps/default.nix b/pkgs/applications/misc/zathura/ps/default.nix index 48d42b5e939..05cc570eb8f 100644 --- a/pkgs/applications/misc/zathura/ps/default.nix +++ b/pkgs/applications/misc/zathura/ps/default.nix @@ -1,10 +1,11 @@ { stdenv, lib, fetchurl, meson, ninja, pkgconfig, zathura_core, girara, libspectre, gettext }: stdenv.mkDerivation rec { - name = "zathura-ps-0.2.6"; + pname = "zathura-ps"; + version = "0.2.6"; src = fetchurl { - url = "https://pwmt.org/projects/zathura/plugins/download/${name}.tar.xz"; + url = "https://pwmt.org/projects/${pname}/download/${pname}-${version}.tar.xz"; sha256 = "0wygq89nyjrjnsq7vbpidqdsirjm6iq4w2rijzwpk2f83ys8bc3y"; }; @@ -14,7 +15,7 @@ stdenv.mkDerivation rec { PKG_CONFIG_ZATHURA_PLUGINDIR = "lib/zathura"; meta = with lib; { - homepage = https://pwmt.org/projects/zathura-ps/; + homepage = "https://pwmt.org/projects/zathura-ps/"; description = "A zathura PS plugin"; longDescription = '' The zathura-ps plugin adds PS support to zathura by using the diff --git a/pkgs/applications/misc/zathura/wrapper.nix b/pkgs/applications/misc/zathura/wrapper.nix index 6c8ad97d355..4a6ef041b54 100644 --- a/pkgs/applications/misc/zathura/wrapper.nix +++ b/pkgs/applications/misc/zathura/wrapper.nix @@ -8,6 +8,8 @@ in symlinkJoin { paths = with zathura_core; [ man dev out ]; + inherit plugins; + buildInputs = [ makeWrapper ]; postBuild = '' diff --git a/pkgs/applications/networking/browsers/browsh/default.nix b/pkgs/applications/networking/browsers/browsh/default.nix index 2b910fe0c5c..94e939b9ab4 100644 --- a/pkgs/applications/networking/browsers/browsh/default.nix +++ b/pkgs/applications/networking/browsers/browsh/default.nix @@ -26,7 +26,7 @@ in buildGoPackage rec { sha256 = "0gvf5k1gm81xxg7ha309kgfkgl5357dli0fbc4z01rmfgbl0rfa0"; }; - buildInputs = [ go-bindata ]; + nativeBuildInputs = [ go-bindata ]; # embed the web extension in a go file and place it where it's supposed to # be. See diff --git a/pkgs/applications/networking/browsers/chromium/browser.nix b/pkgs/applications/networking/browsers/chromium/browser.nix index ec69f3d233f..edbf97e8963 100644 --- a/pkgs/applications/networking/browsers/chromium/browser.nix +++ b/pkgs/applications/networking/browsers/chromium/browser.nix @@ -18,6 +18,16 @@ mkChromiumDerivation (base: rec { cp -vLR "$buildPath/locales" "$buildPath/resources" "$libExecPath/" cp -v "$buildPath/chrome" "$libExecPath/$packageName" + # Swiftshader + # See https://stackoverflow.com/a/4264351/263061 for the find invocation. + if [ -n "$(find "$buildPath/swiftshader/" -maxdepth 1 -name '*.so' -print -quit)" ]; then + echo "Swiftshader files found; installing" + mkdir -p "$libExecPath/swiftshader" + cp -v "$buildPath/swiftshader/"*.so "$libExecPath/swiftshader/" + else + echo "Swiftshader files not found" + fi + mkdir -p "$sandbox/bin" cp -v "$buildPath/chrome_sandbox" "$sandbox/bin/${sandboxExecutableName}" diff --git a/pkgs/applications/networking/browsers/chromium/common.nix b/pkgs/applications/networking/browsers/chromium/common.nix index 255b399ef3d..83c492e1e65 100644 --- a/pkgs/applications/networking/browsers/chromium/common.nix +++ b/pkgs/applications/networking/browsers/chromium/common.nix @@ -7,7 +7,7 @@ , xdg_utils, yasm, minizip, libwebp , libusb1, pciutils, nss, re2, zlib -, python2Packages, perl, pkgconfig, clang-tools +, python2Packages, perl, pkgconfig , nspr, systemd, kerberos , utillinux, alsaLib , bison, gperf @@ -104,8 +104,6 @@ let result else result; - llvm-clang-tools = clang-tools.override { inherit llvmPackages; }; - base = rec { name = "${packageName}-unwrapped-${version}"; inherit (upstream-info) channel version; @@ -139,6 +137,8 @@ let ./patches/no-build-timestamps.patch ./patches/widevine-79.patch ./patches/dont-use-ANGLE-by-default.patch + # fix race condition in the interaction with pulseaudio + ./patches/webrtc-pulse.patch # Unfortunately, chromium regularly breaks on major updates and # then needs various patches backported in order to be compiled with GCC. # Good sources for such patches and other hints: @@ -216,8 +216,6 @@ let ln -s ${stdenv.cc}/bin/clang third_party/llvm-build/Release+Asserts/bin/clang ln -s ${stdenv.cc}/bin/clang++ third_party/llvm-build/Release+Asserts/bin/clang++ ln -s ${llvmPackages.llvm}/bin/llvm-ar third_party/llvm-build/Release+Asserts/bin/llvm-ar - '' + optionalString (stdenv.lib.versionAtLeast version "82") '' - ln -s ${llvm-clang-tools}/bin/clang-format buildtools/linux64/clang-format ''; gnFlags = mkGnFlags ({ @@ -244,7 +242,6 @@ let is_clang = stdenv.cc.isClang; clang_use_chrome_plugins = false; blink_symbol_level = 0; - enable_swiftshader = false; fieldtrial_testing_like_official_build = true; # Google API keys, see: diff --git a/pkgs/applications/networking/browsers/chromium/patches/webrtc-pulse.patch b/pkgs/applications/networking/browsers/chromium/patches/webrtc-pulse.patch new file mode 100644 index 00000000000..cf24e270419 --- /dev/null +++ b/pkgs/applications/networking/browsers/chromium/patches/webrtc-pulse.patch @@ -0,0 +1,61 @@ +From 704dc99bd05a94eb61202e6127df94ddfd571e85 Mon Sep 17 00:00:00 2001 +From: Dale Curtis <dalecurtis@chromium.org> +Date: Mon, 02 Mar 2020 22:12:22 +0000 +Subject: [PATCH] Hold PulseAudio mainloop lock while querying input device info. + +a22cc23955cb3d58b7525c5103314226b3ce0137 moved this section out of +UpdateNativeAudioHardwareInfo(), but forgot to bring the lock along. + +R=guidou + +Bug: 1043040 +Change-Id: I5b17a2cf0ad55d61c0811db1dae7045af4a91370 +Reviewed-on: https://chromium-review.googlesource.com/c/chromium/src/+/2083814 +Commit-Queue: Dale Curtis <dalecurtis@chromium.org> +Commit-Queue: Guido Urdaneta <guidou@chromium.org> +Reviewed-by: Guido Urdaneta <guidou@chromium.org> +Auto-Submit: Dale Curtis <dalecurtis@chromium.org> +Cr-Commit-Position: refs/heads/master@{#746115} +--- + +diff --git a/media/audio/pulse/audio_manager_pulse.cc b/media/audio/pulse/audio_manager_pulse.cc +index 90e9317..829846f 100644 +--- a/media/audio/pulse/audio_manager_pulse.cc ++++ b/media/audio/pulse/audio_manager_pulse.cc +@@ -104,22 +104,27 @@ + + AudioParameters AudioManagerPulse::GetInputStreamParameters( + const std::string& device_id) { +- int user_buffer_size = GetUserBufferSize(); +- int buffer_size = +- user_buffer_size ? user_buffer_size : kDefaultInputBufferSize; +- + UpdateNativeAudioHardwareInfo(); +- auto* operation = pa_context_get_source_info_by_name( +- input_context_, default_source_name_.c_str(), DefaultSourceInfoCallback, +- this); +- WaitForOperationCompletion(input_mainloop_, operation, input_context_); ++ ++ { ++ AutoPulseLock auto_lock(input_mainloop_); ++ auto* operation = pa_context_get_source_info_by_name( ++ input_context_, default_source_name_.c_str(), DefaultSourceInfoCallback, ++ this); ++ WaitForOperationCompletion(input_mainloop_, operation, input_context_); ++ } + + // We don't want to accidentally open a monitor device, so return invalid +- // parameters for those. ++ // parameters for those. Note: The value of |default_source_is_monitor_| ++ // depends on the the call to pa_context_get_source_info_by_name() above. + if (device_id == AudioDeviceDescription::kDefaultDeviceId && + default_source_is_monitor_) { + return AudioParameters(); + } ++ ++ const int user_buffer_size = GetUserBufferSize(); ++ const int buffer_size = ++ user_buffer_size ? user_buffer_size : kDefaultInputBufferSize; + return AudioParameters(AudioParameters::AUDIO_PCM_LOW_LATENCY, + CHANNEL_LAYOUT_STEREO, + native_input_sample_rate_ ? native_input_sample_rate_ diff --git a/pkgs/applications/networking/browsers/vivaldi/default.nix b/pkgs/applications/networking/browsers/vivaldi/default.nix index d0e1114bdd3..e129542d530 100644 --- a/pkgs/applications/networking/browsers/vivaldi/default.nix +++ b/pkgs/applications/networking/browsers/vivaldi/default.nix @@ -17,11 +17,11 @@ let vivaldiName = if isSnapshot then "vivaldi-snapshot" else "vivaldi"; in stdenv.mkDerivation rec { pname = "vivaldi"; - version = "2.11.1811.47-1"; + version = "2.11.1811.49-1"; src = fetchurl { url = "https://downloads.vivaldi.com/${branch}/vivaldi-${branch}_${version}_amd64.deb"; - sha256 = "16fw6v00xy66mxkkq0b4k49jd0wwlyyvxaaml2gglfk7swxy7i02"; + sha256 = "0xn23jmh8pqp1hc0q6nysc8x9xgpypzqr4wz2rzqyald4asxj60v"; }; unpackPhase = '' diff --git a/pkgs/applications/networking/cluster/kops/default.nix b/pkgs/applications/networking/cluster/kops/default.nix index 76afaa47cee..0e71e91fbc1 100644 --- a/pkgs/applications/networking/cluster/kops/default.nix +++ b/pkgs/applications/networking/cluster/kops/default.nix @@ -18,8 +18,8 @@ let inherit sha256; }; - buildInputs = [go-bindata]; - subPackages = ["cmd/kops"]; + nativeBuildInputs = [ go-bindata ]; + subPackages = [ "cmd/kops" ]; buildFlagsArray = '' -ldflags= @@ -43,7 +43,7 @@ let description = "Easiest way to get a production Kubernetes up and running"; homepage = https://github.com/kubernetes/kops; license = licenses.asl20; - maintainers = with maintainers; [offline zimbatm kampka]; + maintainers = with maintainers; [ offline zimbatm kampka ]; platforms = platforms.unix; }; } // attrs'; @@ -60,7 +60,7 @@ in rec { version = "1.13.2"; sha256 = "0lkkg34vn020r62ga8vg5d3a8jwvq00xlv3p1s01nkz33f6salng"; }; - + kops_1_14 = mkKops { version = "1.14.1"; sha256 = "0ikd8qwrjh8s1sc95g18sm0q6p33swz2m1rjd8zw34mb2w9jv76n"; diff --git a/pkgs/applications/networking/cluster/kubernetes/default.nix b/pkgs/applications/networking/cluster/kubernetes/default.nix index 5178b7a2bd4..004e88ff44e 100644 --- a/pkgs/applications/networking/cluster/kubernetes/default.nix +++ b/pkgs/applications/networking/cluster/kubernetes/default.nix @@ -24,7 +24,7 @@ stdenv.mkDerivation rec { sha256 = "0caqczz8hrwqb8j94158hz6919i7c9v1v0zknh9m2zbbng4b1awi"; }; - buildInputs = [ removeReferencesTo makeWrapper which go rsync go-bindata ]; + nativeBuildInputs = [ removeReferencesTo makeWrapper which go rsync go-bindata ]; outputs = ["out" "man" "pause"]; diff --git a/pkgs/applications/networking/cluster/terraform-providers/default.nix b/pkgs/applications/networking/cluster/terraform-providers/default.nix index 5112277a8c4..1487f8ad875 100644 --- a/pkgs/applications/networking/cluster/terraform-providers/default.nix +++ b/pkgs/applications/networking/cluster/terraform-providers/default.nix @@ -2,7 +2,6 @@ , buildGoPackage , fetchFromGitHub , callPackage -, buildGo112Module , Security }: let @@ -26,11 +25,7 @@ let in { elasticsearch = callPackage ./elasticsearch { - # Version 0.7.0 fails to build with go 1.13 due to dependencies: - # verifying git.apache.org/thrift.git@v0.12.0/go.mod: git.apache.org/thrift.git@v0.12.0/go.mod: Get https://sum.golang.org/lookup/git.apache.org/thrift.git@v0.12.0: dial tcp: lookup sum.golang.org on [::1]:53: read udp [::1]:52968->[::1]:53: read: connection refused - # verifying github.com/hashicorp/terraform@v0.12.0/go.mod: github.com/hashicorp/terraform@v0.12.0/go.mod: Get https://sum.golang.org/lookup/github.com/hashicorp/terraform@v0.12.0: dial tcp: lookup sum.golang.org on [::1]:53: read udp [::1]:52968->[::1]:53: read: connection refused - buildGoModule = buildGo112Module; - inherit Security; + inherit Security; }; gandi = callPackage ./gandi {}; ibm = callPackage ./ibm {}; diff --git a/pkgs/applications/networking/cluster/terraform-providers/libvirt/default.nix b/pkgs/applications/networking/cluster/terraform-providers/libvirt/default.nix index 40a6bb11c7d..c2014a4d7bf 100644 --- a/pkgs/applications/networking/cluster/terraform-providers/libvirt/default.nix +++ b/pkgs/applications/networking/cluster/terraform-providers/libvirt/default.nix @@ -30,7 +30,9 @@ buildGoPackage rec { sha256 = "1l2n97nj6g44n7bhnbjwmv36xi6754p4iq2qnpkdh39x4384a0zz"; }; - buildInputs = [ libvirt pkgconfig makeWrapper ]; + nativeBuildInputs = [ pkgconfig makeWrapper ]; + + buildInputs = [ libvirt ]; # mkisofs needed to create ISOs holding cloud-init data, # and wrapped to terraform via deecb4c1aab780047d79978c636eeb879dd68630 @@ -48,4 +50,3 @@ buildGoPackage rec { maintainers = with maintainers; [ mic92 ]; }; } - diff --git a/pkgs/applications/networking/feedreaders/newsboat/default.nix b/pkgs/applications/networking/feedreaders/newsboat/default.nix index 27bf8e81b11..15328c56d27 100644 --- a/pkgs/applications/networking/feedreaders/newsboat/default.nix +++ b/pkgs/applications/networking/feedreaders/newsboat/default.nix @@ -1,18 +1,18 @@ { stdenv, rustPlatform, fetchFromGitHub, stfl, sqlite, curl, gettext, pkgconfig, libxml2, json_c, ncurses -, asciidoc, docbook_xml_dtd_45, libxslt, docbook_xsl, libiconv, Security, makeWrapper }: +, asciidoctor, libiconv, Security, makeWrapper }: rustPlatform.buildRustPackage rec { pname = "newsboat"; - version = "2.18"; + version = "2.19"; src = fetchFromGitHub { owner = "newsboat"; repo = "newsboat"; rev = "r${version}"; - sha256 = "1bg2qjkzdawn4fnn0w7jhw1dk6191w8axnqra43z21pinfyim6da"; + sha256 = "0yyrq8a90l6pkrczm9qvdg75jhsdq0niwp79vrdpm8rsxqpdmfq7"; }; - cargoSha256 = "0q7bira2adfmx0ghb1c5nqz200iajaxap9bq8j5wvvk9l2wywslp"; + cargoSha256 = "1q3jf3d80c0ik38qk8jgbhfz5jxv0cy3lzmkyh2l002azp9hvv59"; postPatch = '' substituteInPlace Makefile --replace "|| true" "" @@ -21,7 +21,10 @@ rustPlatform.buildRustPackage rec { --replace "ncurses5.4" "ncurses" ''; - nativeBuildInputs = [ pkgconfig asciidoc docbook_xml_dtd_45 libxslt docbook_xsl ] + nativeBuildInputs = [ + pkgconfig + asciidoctor + ] ++ stdenv.lib.optionals stdenv.isDarwin [ makeWrapper libiconv ]; buildInputs = [ stfl sqlite curl gettext libxml2 json_c ncurses ] @@ -31,12 +34,12 @@ rustPlatform.buildRustPackage rec { make ''; - NIX_CFLAGS_COMPILE = "-Wno-error=sign-compare" - + stdenv.lib.optionalString stdenv.isDarwin " -Wno-error=format-security"; + # TODO: Check if that's still needed + NIX_CFLAGS_COMPILE = stdenv.lib.optionalString stdenv.isDarwin " -Wno-error=format-security"; doCheck = true; - checkPhase = '' + preCheck = '' make test ''; @@ -50,7 +53,7 @@ rustPlatform.buildRustPackage rec { ''; meta = with stdenv.lib; { - homepage = https://newsboat.org/; + homepage = "https://newsboat.org/"; description = "A fork of Newsbeuter, an RSS/Atom feed reader for the text console"; maintainers = with maintainers; [ dotlambda nicknovitski ]; license = licenses.mit; diff --git a/pkgs/applications/networking/gns3/default.nix b/pkgs/applications/networking/gns3/default.nix index 6dcfb27b7ba..340cfdf879a 100644 --- a/pkgs/applications/networking/gns3/default.nix +++ b/pkgs/applications/networking/gns3/default.nix @@ -1,13 +1,13 @@ { callPackage }: let - stableVersion = "2.2.5"; + stableVersion = "2.2.6"; previewVersion = stableVersion; addVersion = args: let version = if args.stable then stableVersion else previewVersion; branch = if args.stable then "stable" else "preview"; in args // { inherit version branch; }; - extraArgs = { + extraArgs = rec { mkOverride = attrname: version: sha256: self: super: { ${attrname} = super.${attrname}.overridePythonAttrs (oldAttrs: { @@ -18,11 +18,15 @@ let doCheck = oldAttrs.doCheck && (attrname != "psutil"); }); }; + commonOverrides = [ + (mkOverride "psutil" "5.6.6" + "1rs6z8bfy6bqzw88s4i5zllrx3i18hnkv4akvmw7bifngcgjh8dd") + ]; }; mkGui = args: callPackage (import ./gui.nix (addVersion args // extraArgs)) { }; mkServer = args: callPackage (import ./server.nix (addVersion args // extraArgs)) { }; - guiSrcHash = "1yxwbz93x9hn5y6dir8v7bdfsmfgppvjg4z88l8gx82hhf2476fx"; - serverSrcHash = "1d3m8qrz82g8ii6q6j015wqwp6j0415fbqbjvw43zhdx5mnn962d"; + guiSrcHash = "0inqy2zw5h9cgiyqb04kv8b5sjrdi4a637gdqs83k887axkd48aw"; + serverSrcHash = "04d9lny5vyk0kbi5ilv5mngaicnxb077xpcaaqlcd9b1m3kiq19n"; in { guiStable = mkGui { stable = true; diff --git a/pkgs/applications/networking/gns3/gui.nix b/pkgs/applications/networking/gns3/gui.nix index 2d462d5c11d..2524731cd05 100644 --- a/pkgs/applications/networking/gns3/gui.nix +++ b/pkgs/applications/networking/gns3/gui.nix @@ -1,12 +1,10 @@ -{ stable, branch, version, sha256Hash, mkOverride }: +{ stable, branch, version, sha256Hash, mkOverride, commonOverrides }: { lib, stdenv, python3, fetchFromGitHub }: let # TODO: This package requires qt5Full to launch - defaultOverrides = [ - (mkOverride "psutil" "5.6.3" - "1wv31zly44qj0rp2acg58xbnc7bf6ffyadasq093l455q30qafl6") + defaultOverrides = commonOverrides ++ [ (mkOverride "jsonschema" "2.6.0" "00kf3zmpp9ya4sydffpifn0j0mzm342a2vzh82p6r0vh10cg7xbg") ]; @@ -40,7 +38,8 @@ in python.pkgs.buildPythonPackage rec { requires access to a local or remote GNS3 server (it's recommended to download the official GNS3 VM). ''; - homepage = https://www.gns3.com/; + homepage = "https://www.gns3.com/"; + changelog = "https://github.com/GNS3/gns3-gui/releases/tag/v${version}"; license = licenses.gpl3Plus; platforms = platforms.linux; maintainers = with maintainers; [ primeos ]; diff --git a/pkgs/applications/networking/gns3/server.nix b/pkgs/applications/networking/gns3/server.nix index beeac9d0293..32dc42e9f51 100644 --- a/pkgs/applications/networking/gns3/server.nix +++ b/pkgs/applications/networking/gns3/server.nix @@ -1,11 +1,9 @@ -{ stable, branch, version, sha256Hash, mkOverride }: +{ stable, branch, version, sha256Hash, mkOverride, commonOverrides }: { lib, stdenv, python3, fetchFromGitHub }: let - defaultOverrides = [ - (mkOverride "psutil" "5.6.3" - "1wv31zly44qj0rp2acg58xbnc7bf6ffyadasq093l455q30qafl6") + defaultOverrides = commonOverrides ++ [ (mkOverride "jsonschema" "2.6.0" "00kf3zmpp9ya4sydffpifn0j0mzm342a2vzh82p6r0vh10cg7xbg") ]; @@ -25,8 +23,6 @@ in python.pkgs.buildPythonPackage { }; postPatch = '' - # Only 2.x is problematic: - sed -iE "s/prompt-toolkit==1.0.15/prompt-toolkit<2.0.0/" requirements.txt # yarl 1.4+ only requires Python 3.6+ sed -iE "s/yarl==1.3.0//" requirements.txt ''; @@ -34,7 +30,7 @@ in python.pkgs.buildPythonPackage { propagatedBuildInputs = with python.pkgs; [ aiohttp-cors yarl aiohttp multidict setuptools jinja2 psutil zipstream raven jsonschema distro async_generator aiofiles - (python.pkgs.callPackage ../../../development/python-modules/prompt_toolkit/1.nix {}) + prompt_toolkit py-cpuinfo ]; # Requires network access @@ -51,7 +47,8 @@ in python.pkgs.buildPythonPackage { Qemu/KVM. Clients like the GNS3 GUI control the server using a HTTP REST API. ''; - homepage = https://www.gns3.com/; + homepage = "https://www.gns3.com/"; + changelog = "https://github.com/GNS3/gns3-server/releases/tag/v${version}"; license = licenses.gpl3Plus; platforms = platforms.linux; maintainers = with maintainers; [ primeos ]; diff --git a/pkgs/applications/networking/instant-messengers/coyim/default.nix b/pkgs/applications/networking/instant-messengers/coyim/default.nix index 3186009db58..e507d986510 100644 --- a/pkgs/applications/networking/instant-messengers/coyim/default.nix +++ b/pkgs/applications/networking/instant-messengers/coyim/default.nix @@ -14,7 +14,9 @@ buildGoPackage rec { sha256 = "1g8nf56j17rdhhj7pv3ha1rb2mfc0mdvyzl35pgcki08w7iw08j3"; }; - nativeBuildInputs = [ pkgconfig wrapGAppsHook glib cairo gdk-pixbuf gtk3 gnome3.adwaita-icon-theme ]; + nativeBuildInputs = [ pkgconfig wrapGAppsHook ]; + + buildInputs = [ glib cairo gdk-pixbuf gtk3 gnome3.adwaita-icon-theme ]; meta = with stdenv.lib; { description = "a safe and secure chat client"; diff --git a/pkgs/applications/networking/instant-messengers/rambox/default.nix b/pkgs/applications/networking/instant-messengers/rambox/default.nix index 488da12c18c..28ed924011e 100644 --- a/pkgs/applications/networking/instant-messengers/rambox/default.nix +++ b/pkgs/applications/networking/instant-messengers/rambox/default.nix @@ -3,18 +3,18 @@ }: let - version = "0.7.3"; + version = "0.7.4"; in stdenv.mkDerivation rec { pname = "rambox"; inherit version; src = { x86_64-linux = fetchurl { url = "https://github.com/ramboxapp/community-edition/releases/download/${version}/Rambox-${version}-linux-amd64.deb"; - sha256 = "09v8zlayas906zhqy2aw4wkvyl87ykr09sjf0nmgmf69piwmjgg6"; + sha256 = "0m9627bcwfg9aximv7ifsmspm8xi231pcnnd4p46lahb2qp19vbd"; }; i686-linux = fetchurl { url = "https://github.com/ramboxapp/community-edition/releases/download/${version}/Rambox-${version}-linux-i386.deb"; - sha256 = "0gv4pf3vhrw4xyccm24ivv92d9qy4zpwsh0m82ib1w764lyxmyrz"; + sha256 = "162p6x400w3pny38adinp53rcifvbkjbs12cwrpf7s3b0yml8qxr"; }; }.${stdenv.system} or (throw "Unsupported system: ${stdenv.system}"); @@ -43,7 +43,7 @@ in stdenv.mkDerivation rec { description = "Free and Open Source messaging and emailing app that combines common web applications into one"; homepage = http://rambox.pro; license = licenses.mit; - maintainers = [ maintainers.gnidorah ]; + maintainers = with maintainers; [ gnidorah ma27 ]; platforms = ["i686-linux" "x86_64-linux"]; hydraPlatforms = []; }; diff --git a/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix b/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix index 3592665ef0b..51b6816e4ec 100644 --- a/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix +++ b/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix @@ -23,7 +23,7 @@ let else ""); in stdenv.mkDerivation rec { pname = "signal-desktop"; - version = "1.32.1"; # Please backport all updates to the stable channel. + version = "1.32.2"; # Please backport all updates to the stable channel. # All releases have a limited lifetime and "expire" 90 days after the release. # When releases "expire" the application becomes unusable until an update is # applied. The expiration date for the current release can be extracted with: @@ -33,7 +33,7 @@ in stdenv.mkDerivation rec { src = fetchurl { url = "https://updates.signal.org/desktop/apt/pool/main/s/signal-desktop/signal-desktop_${version}_amd64.deb"; - sha256 = "0sfzz1z57l20prj2s8hdl8ip1hrlzb5fqnccqcfd101a6mjnp9i9"; + sha256 = "0s64b66yzh797wbnggpj5hym0d1dklh3znbl3xib00hlwdqczbwz"; }; nativeBuildInputs = [ diff --git a/pkgs/applications/networking/instant-messengers/skypeforlinux/default.nix b/pkgs/applications/networking/instant-messengers/skypeforlinux/default.nix index 78b7a6d81a2..a4852f16245 100644 --- a/pkgs/applications/networking/instant-messengers/skypeforlinux/default.nix +++ b/pkgs/applications/networking/instant-messengers/skypeforlinux/default.nix @@ -7,7 +7,7 @@ let # Please keep the version x.y.0.z and do not update to x.y.76.z because the # source of the latter disappears much faster. - version = "8.56.0.103"; + version = "8.58.0.93"; rpath = stdenv.lib.makeLibraryPath [ alsaLib @@ -63,7 +63,7 @@ let "https://repo.skype.com/deb/pool/main/s/skypeforlinux/skypeforlinux_${version}_amd64.deb" "https://web.archive.org/web/https://repo.skype.com/deb/pool/main/s/skypeforlinux/skypeforlinux_${version}_amd64.deb" ]; - sha256 = "01qyi92dh4xalzaqzj9n3bz59y91rx45gkyw4k9ckjknbjwb3c90"; + sha256 = "1nqadil50z896jg0r202gw3xmm3diawn0pnh6n6nxn900f02avl3"; } else throw "Skype for linux is not supported on ${stdenv.hostPlatform.system}"; diff --git a/pkgs/applications/networking/instant-messengers/teams/default.nix b/pkgs/applications/networking/instant-messengers/teams/default.nix index ef7e3717f72..63d922ab299 100644 --- a/pkgs/applications/networking/instant-messengers/teams/default.nix +++ b/pkgs/applications/networking/instant-messengers/teams/default.nix @@ -15,11 +15,11 @@ stdenv.mkDerivation rec { pname = "teams"; - version = "1.3.00.958"; + version = "1.3.00.5153"; src = fetchurl { url = "https://packages.microsoft.com/repos/ms-teams/pool/main/t/teams/teams_${version}_amd64.deb"; - sha256 = "015awxgbwk4j973jnxj7q3i8csx7wnwpwp5g4jlmn7z8fxwy83d5"; + sha256 = "13c7fmij0gcg6mrjjj2mhs21q7fzdssscwhihzyrmbmj64cd0a69"; }; nativeBuildInputs = [ dpkg autoPatchelfHook wrapGAppsHook ]; diff --git a/pkgs/applications/networking/instant-messengers/zoom-us/default.nix b/pkgs/applications/networking/instant-messengers/zoom-us/default.nix index d47368a9cb2..ae92e23d0bd 100644 --- a/pkgs/applications/networking/instant-messengers/zoom-us/default.nix +++ b/pkgs/applications/networking/instant-messengers/zoom-us/default.nix @@ -15,11 +15,11 @@ assert pulseaudioSupport -> libpulseaudio != null; let inherit (stdenv.lib) concatStringsSep makeBinPath optional; - version = "3.5.361976.0301"; + version = "3.5.374815.0324"; srcs = { x86_64-linux = fetchurl { url = "https://zoom.us/client/${version}/zoom_x86_64.tar.xz"; - sha256 = "12pqs4pk73d7y9b49vq6f4fryph27k45zm1rjrpijnbi6ln2w993"; + sha256 = "1pnr00jwjjp23bbqdg5sv2s2rnciz57g1453sgi13kzpppydhzi5"; }; }; diff --git a/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix b/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix index 632a485381d..7b98630e85e 100644 --- a/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix +++ b/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "wee-slack"; - version = "2.4.0"; + version = "2.5.0"; src = fetchFromGitHub { repo = "wee-slack"; owner = "wee-slack"; rev = "v${version}"; - sha256 = "0h425ln5vv76zv41dccapyfbl8qmmflbpwmrd26knqyj8k24zfpr"; + sha256 = "0sxgi5fg8qvzqmxy7sdma6v0wj93xwh21av10n8nxvdskacw5dxz"; }; patches = [ @@ -19,13 +19,19 @@ stdenv.mkDerivation rec { paths = with python3Packages; [ websocket_client six ]; }}/${python3Packages.python.sitePackages}"; }) + ./hardcode-json-file-path.patch ]; + postPatch = '' + substituteInPlace wee_slack.py --subst-var out + ''; + passthru.scripts = [ "wee_slack.py" ]; installPhase = '' mkdir -p $out/share cp wee_slack.py $out/share/wee_slack.py + install -D -m 0444 weemoji.json $out/share/wee-slack/weemoji.json ''; meta = with stdenv.lib; { diff --git a/pkgs/applications/networking/irc/weechat/scripts/wee-slack/hardcode-json-file-path.patch b/pkgs/applications/networking/irc/weechat/scripts/wee-slack/hardcode-json-file-path.patch new file mode 100644 index 00000000000..7413a9229ce --- /dev/null +++ b/pkgs/applications/networking/irc/weechat/scripts/wee-slack/hardcode-json-file-path.patch @@ -0,0 +1,12 @@ +--- a/wee_slack.py ++++ b/wee_slack.py +@@ -4560,8 +4560,7 @@ + + def load_emoji(): + try: +- DIR = w.info_get('weechat_dir', '') +- with open('{}/weemoji.json'.format(DIR), 'r') as ef: ++ with open('@out@/share/wee-slack/weemoji.json', 'r') as ef: + emojis = json.loads(ef.read()) + if 'emoji' in emojis: + print_error('The weemoji.json file is in an old format. Please update it.') diff --git a/pkgs/applications/networking/protonvpn-cli-ng/default.nix b/pkgs/applications/networking/protonvpn-cli-ng/default.nix index 03d1a665273..e62bc21ab5b 100644 --- a/pkgs/applications/networking/protonvpn-cli-ng/default.nix +++ b/pkgs/applications/networking/protonvpn-cli-ng/default.nix @@ -1,14 +1,14 @@ -{ stdenv, lib, fetchFromGitHub, python3Packages, openvpn, dialog }: +{ lib, fetchFromGitHub, python3Packages, openvpn, dialog, iptables }: python3Packages.buildPythonApplication rec { - name = "protonvpn-cli-ng"; - version = "2.2.0"; + pname = "protonvpn-cli-ng"; + version = "2.2.2"; src = fetchFromGitHub { owner = "protonvpn"; - repo = "protonvpn-cli-ng"; + repo = "${pname}"; rev = "v${version}"; - sha256 = "11fvnnr5p3qdc4y10815jnydcjvxlxwkkq9kvaajg0yszq84rwkz"; + sha256 = "0ixjb02kj4z79whm1izd8mrn2h0rp9cmw4im1qvp93rahqxdd4n8"; }; propagatedBuildInputs = (with python3Packages; [ @@ -19,15 +19,16 @@ python3Packages.buildPythonApplication rec { ]) ++ [ dialog openvpn + iptables ]; # No tests doCheck = false; - meta = with stdenv.lib; { + meta = with lib; { description = "Linux command-line client for ProtonVPN"; homepage = "https://github.com/protonvpn/protonvpn-cli-ng"; - maintainers = [ maintainers.jtcoolen ]; + maintainers = with maintainers; [ jtcoolen jefflabonte ]; license = licenses.gpl3; platforms = platforms.unix; }; diff --git a/pkgs/applications/networking/remote/remmina/default.nix b/pkgs/applications/networking/remote/remmina/default.nix index de221fce389..3e41649d8cc 100644 --- a/pkgs/applications/networking/remote/remmina/default.nix +++ b/pkgs/applications/networking/remote/remmina/default.nix @@ -13,13 +13,13 @@ with stdenv.lib; stdenv.mkDerivation rec { pname = "remmina"; - version = "1.3.10"; + version = "1.4.1"; src = fetchFromGitLab { owner = "Remmina"; repo = "Remmina"; rev = "v${version}"; - sha256 = "0gc7b88129avl9sbax3ncvm7zf2qvq35ixvvpr2zj74g3qnphl08"; + sha256 = "084yw0fd3qmzzd6xinhf4plv5bg8gfj4jnfac7zi1nif8zilf456"; }; nativeBuildInputs = [ cmake ninja pkgconfig wrapGAppsHook ]; @@ -52,7 +52,7 @@ stdenv.mkDerivation rec { meta = { license = licenses.gpl2; - homepage = https://gitlab.com/Remmina/Remmina; + homepage = "https://gitlab.com/Remmina/Remmina"; description = "Remote desktop client written in GTK"; maintainers = with maintainers; [ melsigl ryantm ]; platforms = platforms.linux; diff --git a/pkgs/applications/science/biology/seaview/default.nix b/pkgs/applications/science/biology/seaview/default.nix index fea8da41d70..4192de714d0 100644 --- a/pkgs/applications/science/biology/seaview/default.nix +++ b/pkgs/applications/science/biology/seaview/default.nix @@ -1,12 +1,12 @@ { stdenv, fetchurl, coreutils, fltk, libjpeg }: stdenv.mkDerivation rec { - version = "5.0"; + version = "5.0.2"; pname = "seaview"; src = fetchurl { url = "ftp://pbil.univ-lyon1.fr/pub/mol_phylogeny/seaview/archive/seaview_${version}.tar.gz"; - sha256 = "0gzjqf5mm91pa1drwxvz229bv6l995npdggngszh6z6j4pfh8j7c"; + sha256 = "0bad0nd18a36g6ysx28j68rbnwqn33ra8inx2lv2igqqcs6i5kif"; }; buildInputs = [ fltk libjpeg ]; @@ -33,7 +33,7 @@ stdenv.mkDerivation rec { Gouy M., Guindon S. & Gascuel O. (2010) SeaView version 4 : a multiplatform graphical user interface for sequence alignment and phylogenetic tree building. Molecular Biology and Evolution 27(2):221-224. ''; - homepage = http://doua.prabi.fr/software/seaview; + homepage = "http://doua.prabi.fr/software/seaview"; license = licenses.gpl3; maintainers = [ maintainers.iimog ]; platforms = platforms.linux; diff --git a/pkgs/applications/science/electronics/xcircuit/default.nix b/pkgs/applications/science/electronics/xcircuit/default.nix index 418144e6af7..d10b486492e 100644 --- a/pkgs/applications/science/electronics/xcircuit/default.nix +++ b/pkgs/applications/science/electronics/xcircuit/default.nix @@ -2,7 +2,7 @@ , cairo, ghostscript, ngspice, tcl, tk, xorg, zlib }: let - version = "3.9.73"; + version = "3.10.12"; name = "xcircuit-${version}"; inherit (stdenv.lib) getBin; @@ -11,7 +11,7 @@ in stdenv.mkDerivation { src = fetchurl { url = "http://opencircuitdesign.com/xcircuit/archive/${name}.tgz"; - sha256 = "1kj9hayipplzm4960kx48vxddqj154qnxkccaqj9cnkp62b7q3jg"; + sha256 = "1h1ywc3mr7plvwnhdii2zgnnv5ih2nhyl4qbdjpi83dq0aq1s2mn"; }; nativeBuildInputs = [ autoreconfHook automake pkgconfig ]; @@ -30,6 +30,6 @@ in stdenv.mkDerivation { homepage = http://opencircuitdesign.com/xcircuit; license = licenses.gpl2; platforms = platforms.linux; - maintainers = [ maintainers.spacefrogg ]; + maintainers = with maintainers; [ spacefrogg thoughtpolice ]; }; } diff --git a/pkgs/applications/science/logic/alt-ergo/default.nix b/pkgs/applications/science/logic/alt-ergo/default.nix index f83480cfbaf..ad931c23d53 100644 --- a/pkgs/applications/science/logic/alt-ergo/default.nix +++ b/pkgs/applications/science/logic/alt-ergo/default.nix @@ -1,26 +1,48 @@ -{ fetchurl, stdenv, which, dune, ocamlPackages }: +{ fetchurl, lib, which, ocamlPackages }: -stdenv.mkDerivation rec { +let pname = "alt-ergo"; - version = "2.3.0"; + version = "2.3.1"; src = fetchurl { url = "https://alt-ergo.ocamlpro.com/download_manager.php?target=${pname}-${version}.tar.gz"; name = "${pname}-${version}.tar.gz"; - sha256 = "1ycr3ff0gacq1aqzs16n6swgfniwpim0m7rvhcam64kj0a80c6bz"; + sha256 = "124n836alqm13245hcnxixzc6a15rip919shfflvxqnl617mkmhg"; }; - buildInputs = [ dune which ] ++ (with ocamlPackages; [ - ocaml findlib camlzip lablgtk menhir num ocplib-simplex psmt2-frontend seq zarith - ]); - preConfigure = "patchShebangs ./configure"; + nativeBuildInputs = [ which ]; + +in + +let alt-ergo-lib = ocamlPackages.buildDunePackage rec { + pname = "alt-ergo-lib"; + inherit version src preConfigure nativeBuildInputs; + configureFlags = pname; + propagatedBuildInputs = with ocamlPackages; [ num ocplib-simplex zarith ]; +}; in + +let alt-ergo-parsers = ocamlPackages.buildDunePackage rec { + pname = "alt-ergo-parsers"; + inherit version src preConfigure nativeBuildInputs; + configureFlags = pname; + buildInputs = with ocamlPackages; [ menhir ]; + propagatedBuildInputs = [ alt-ergo-lib ] ++ (with ocamlPackages; [ camlzip psmt2-frontend ]); +}; in + +ocamlPackages.buildDunePackage { + + inherit pname version src preConfigure nativeBuildInputs; + + configureFlags = pname; + + buildInputs = [ alt-ergo-parsers ocamlPackages.menhir ]; + meta = { description = "High-performance theorem prover and SMT solver"; homepage = "https://alt-ergo.ocamlpro.com/"; - license = stdenv.lib.licenses.ocamlpro_nc; - platforms = stdenv.lib.platforms.linux ++ stdenv.lib.platforms.darwin; - maintainers = [ stdenv.lib.maintainers.thoughtpolice ]; + license = lib.licenses.ocamlpro_nc; + maintainers = [ lib.maintainers.thoughtpolice ]; }; } diff --git a/pkgs/applications/science/logic/lean/default.nix b/pkgs/applications/science/logic/lean/default.nix index ac65a72c94b..cfd645a3c73 100644 --- a/pkgs/applications/science/logic/lean/default.nix +++ b/pkgs/applications/science/logic/lean/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "lean"; - version = "3.7.0"; + version = "3.7.2"; src = fetchFromGitHub { owner = "leanprover-community"; repo = "lean"; rev = "v${version}"; - sha256 = "1khy41zv4bjbpy3949j7y7d4qal53w4679iqlhm2l8jxd7y46nvi"; + sha256 = "0d9lz0mbxyaaykkvk2p8w2hcif9cx0ksihgh7qhxf417bz6msgc1"; }; nativeBuildInputs = [ cmake ]; diff --git a/pkgs/applications/science/logic/mcy/default.nix b/pkgs/applications/science/logic/mcy/default.nix index ec9af192631..a9366d56058 100644 --- a/pkgs/applications/science/logic/mcy/default.nix +++ b/pkgs/applications/science/logic/mcy/default.nix @@ -7,13 +7,13 @@ let in stdenv.mkDerivation { pname = "mcy"; - version = "2020.03.16"; + version = "2020.03.21"; src = fetchFromGitHub { owner = "YosysHQ"; repo = "mcy"; - rev = "562c02375067428bb657f57faa5131ee1ab44051"; - sha256 = "0q77v2hxnmv61zx5bl4lrqiavgvsiyb5qxdp9hnihimj1m30bc5h"; + rev = "bac92b8aad9bf24714fda70d3750bb50d6d96177"; + sha256 = "0mmg6zd5cbn8g0am9c3naamg0lq67yyy117fzn2ydigcyia7vmnp"; }; buildInputs = [ python ]; diff --git a/pkgs/applications/science/logic/symbiyosys/default.nix b/pkgs/applications/science/logic/symbiyosys/default.nix index 48c48836b06..b180cf307f0 100644 --- a/pkgs/applications/science/logic/symbiyosys/default.nix +++ b/pkgs/applications/science/logic/symbiyosys/default.nix @@ -5,13 +5,13 @@ stdenv.mkDerivation { pname = "symbiyosys"; - version = "2020.02.11"; + version = "2020.03.24"; src = fetchFromGitHub { owner = "YosysHQ"; repo = "SymbiYosys"; - rev = "0a7013017f9d583ef6cc8d10712f4bf11cf6e024"; - sha256 = "08xz8sgvs1qy7jxp8ma5yl49i6nl7k6bkhry4afdvwg3fvwis39c"; + rev = "8a62780b9df4d2584e41cdd42cab92fddcd75b31"; + sha256 = "0ss5mrzwff2dny8kfciqbrz67m6k52yvc1shd7gk3qb99x7g7fp8"; }; buildInputs = [ python3 ]; diff --git a/pkgs/applications/system/glances/default.nix b/pkgs/applications/system/glances/default.nix index a7dffd0bfab..3dfec185b57 100644 --- a/pkgs/applications/system/glances/default.nix +++ b/pkgs/applications/system/glances/default.nix @@ -8,14 +8,14 @@ buildPythonApplication rec { pname = "glances"; - version = "3.1.4"; + version = "3.1.4.1"; disabled = isPyPy; src = fetchFromGitHub { owner = "nicolargo"; repo = "glances"; rev = "v${version}"; - sha256 = "1lr186rc3fvldy2m2yx1hxzdlxll93pjabs01sxz48kkpsvbiydi"; + sha256 = "04dc3pwj9qbbhxpihf13ckdgwz0qc771c7v7awni4vyzk3a9cdfb"; }; # Some tests fail in the sandbox (they e.g. require access to /sys/class/power_supply): diff --git a/pkgs/applications/version-management/gerrit/default.nix b/pkgs/applications/version-management/gerrit/default.nix index be84b7abc82..1aa7afcd98b 100644 --- a/pkgs/applications/version-management/gerrit/default.nix +++ b/pkgs/applications/version-management/gerrit/default.nix @@ -14,6 +14,24 @@ stdenv.mkDerivation rec { ln -s ${src} "$out"/webapps/gerrit-${version}.war ''; + passthru = { + # A list of plugins that are part of the gerrit.war file. + # Use `java -jar gerrit.war ls | grep plugins/` to generate that list. + plugins = [ + "codemirror-editor" + "commit-message-length-validator" + "delete-project" + "download-commands" + "gitiles" + "hooks" + "plugin-manager" + "replication" + "reviewnotes" + "singleusergroup" + "webhooks" + ]; + }; + meta = with stdenv.lib; { homepage = "https://www.gerritcodereview.com/index.md"; license = licenses.asl20; diff --git a/pkgs/applications/version-management/git-and-tools/git-filter-repo/default.nix b/pkgs/applications/version-management/git-and-tools/git-filter-repo/default.nix index af21296cffa..0439669f9da 100644 --- a/pkgs/applications/version-management/git-and-tools/git-filter-repo/default.nix +++ b/pkgs/applications/version-management/git-and-tools/git-filter-repo/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "git-filter-repo"; - version = "2.25.0"; + version = "2.26.0"; src = fetchurl { url = "https://github.com/newren/git-filter-repo/releases/download/v${version}/${pname}-${version}.tar.xz"; - sha256 = "1772if8ajaw80dsdw4ic6vjw24dq0b9w87qlkn0iw4b8r9yxp37a"; + sha256 = "15d07i66b090bhjfj9s4s2s38k75mhxmddzyn44bnnyb967w6yjk"; }; buildInputs = [ pythonPackages.python ]; diff --git a/pkgs/applications/version-management/git-and-tools/git-machete/default.nix b/pkgs/applications/version-management/git-and-tools/git-machete/default.nix index e420fe405cf..40da9045b81 100644 --- a/pkgs/applications/version-management/git-and-tools/git-machete/default.nix +++ b/pkgs/applications/version-management/git-and-tools/git-machete/default.nix @@ -4,11 +4,11 @@ buildPythonApplication rec { pname = "git-machete"; - version = "2.13.5"; + version = "2.13.6"; src = fetchPypi { inherit pname version; - sha256 = "1ll5l1f3vcib9a8qsqm8bfzz4g4q1dnr389x7x26kl13n6a50wib"; + sha256 = "0n07gm05676vgfh6vlym59jwwzym9xmibhr0zpf0drlx02fr47qy"; }; nativeBuildInputs = [ installShellFiles pbr ]; @@ -25,7 +25,7 @@ buildPythonApplication rec { meta = with lib; { homepage = https://github.com/VirtusLab/git-machete; - description = "Git repository organizer and rebase workflow automation tool"; + description = "Git repository organizer and rebase/merge workflow automation tool"; license = licenses.mit; platforms = platforms.all; maintainers = [ maintainers.blitz ]; diff --git a/pkgs/applications/version-management/git-repo/default.nix b/pkgs/applications/version-management/git-repo/default.nix index 543ca58401a..93fb65d1031 100644 --- a/pkgs/applications/version-management/git-repo/default.nix +++ b/pkgs/applications/version-management/git-repo/default.nix @@ -4,13 +4,13 @@ stdenv.mkDerivation rec { pname = "git-repo"; - version = "2.4.1"; + version = "2.5"; src = fetchFromGitHub { owner = "android"; repo = "tools_repo"; rev = "v${version}"; - sha256 = "0khg1731927gvin73dcbw1657kbfq4k7agla5rpzqcnwkk5agzg3"; + sha256 = "0y37s0v37gygv0dm8y9sjc4jr7ml8irix5rwmbk6smzwa74n0x8a"; }; patches = [ ./import-ssl-module.patch ]; diff --git a/pkgs/applications/version-management/gitea/default.nix b/pkgs/applications/version-management/gitea/default.nix index 164392ef4ce..fef92f6e367 100644 --- a/pkgs/applications/version-management/gitea/default.nix +++ b/pkgs/applications/version-management/gitea/default.nix @@ -29,8 +29,9 @@ buildGoPackage rec { substituteInPlace modules/setting/setting.go --subst-var data ''; - nativeBuildInputs = [ makeWrapper ] - ++ optional pamSupport pam; + nativeBuildInputs = [ makeWrapper ]; + + buildInputs = optional pamSupport pam; preBuild = let tags = optional pamSupport "pam" diff --git a/pkgs/applications/version-management/gitlab/data.json b/pkgs/applications/version-management/gitlab/data.json index ddad51dfb63..58844657298 100644 --- a/pkgs/applications/version-management/gitlab/data.json +++ b/pkgs/applications/version-management/gitlab/data.json @@ -1,11 +1,11 @@ { - "version": "12.8.6", - "repo_hash": "0plcigppmg6ckmq8myj3m9adshdvqj7czx8fms71bsa9zx060wib", + "version": "12.8.7", + "repo_hash": "15dw8v5184500jm823wv920li3ishwk8k2dhxl1w8209x53nbqgj", "owner": "gitlab-org", "repo": "gitlab", - "rev": "v12.8.6-ee", + "rev": "v12.8.7-ee", "passthru": { - "GITALY_SERVER_VERSION": "12.8.6", + "GITALY_SERVER_VERSION": "12.8.7", "GITLAB_PAGES_VERSION": "1.16.0", "GITLAB_SHELL_VERSION": "11.0.0", "GITLAB_WORKHORSE_VERSION": "8.21.0" diff --git a/pkgs/applications/version-management/gitlab/gitaly/default.nix b/pkgs/applications/version-management/gitlab/gitaly/default.nix index 9b3e4da2024..37721319554 100644 --- a/pkgs/applications/version-management/gitlab/gitaly/default.nix +++ b/pkgs/applications/version-management/gitlab/gitaly/default.nix @@ -28,14 +28,14 @@ let }; }); in buildGoPackage rec { - version = "12.8.6"; + version = "12.8.7"; pname = "gitaly"; src = fetchFromGitLab { owner = "gitlab-org"; repo = "gitaly"; rev = "v${version}"; - sha256 = "1rf9qmyjllkwkyi7la1dzyjh0z9sw21zdzihd7v9ngwqssfk5zfk"; + sha256 = "1sb11xavckzm70m27xcwbv4a1iaicxkh1hv2zi4brlcj5ia2rky4"; }; # Fix a check which assumes that hook files are writeable by their diff --git a/pkgs/applications/version-management/gogs/default.nix b/pkgs/applications/version-management/gogs/default.nix index fedb000e42d..487026d8e3f 100644 --- a/pkgs/applications/version-management/gogs/default.nix +++ b/pkgs/applications/version-management/gogs/default.nix @@ -24,8 +24,9 @@ buildGoPackage rec { substituteInPlace pkg/setting/setting.go --subst-var data ''; - nativeBuildInputs = [ makeWrapper ] - ++ optional pamSupport pam; + nativeBuildInputs = [ makeWrapper ]; + + buildInputs = optional pamSupport pam; buildFlags = [ "-tags" ]; diff --git a/pkgs/applications/video/kodi/default.nix b/pkgs/applications/video/kodi/default.nix index 77a68317b2f..875ef8d52dd 100644 --- a/pkgs/applications/video/kodi/default.nix +++ b/pkgs/applications/video/kodi/default.nix @@ -19,6 +19,7 @@ , libcrossguid, libmicrohttpd , bluez, doxygen, giflib, glib, harfbuzz, lcms2, libidn, libpthreadstubs, libtasn1, libXdmcp , libplist, p11-kit, zlib, flatbuffers, fmt, fstrcmp, rapidjson +, lirc , dbusSupport ? true, dbus ? null , joystickSupport ? true, cwiid ? null , nfsSupport ? true, libnfs ? null @@ -168,6 +169,7 @@ in stdenv.mkDerivation { libcrossguid cwiid libplist bluez giflib glib harfbuzz lcms2 libpthreadstubs libXdmcp ffmpeg flatbuffers fmt fstrcmp rapidjson + lirc # libdvdcss libdvdnav libdvdread ] ++ lib.optional dbusSupport dbus @@ -202,7 +204,6 @@ in stdenv.mkDerivation { "-DENABLE_EVENTCLIENTS=ON" "-DENABLE_INTERNAL_CROSSGUID=OFF" "-DENABLE_OPTICAL=ON" - "-DLIRC_DEVICE=/run/lirc/lircd" ] ++ lib.optional useWayland [ "-DCORE_PLATFORM_NAME=wayland" "-DWAYLAND_RENDER_SYSTEM=gl" diff --git a/pkgs/applications/video/makemkv/default.nix b/pkgs/applications/video/makemkv/default.nix index ca202c5ce60..5423ffcb142 100644 --- a/pkgs/applications/video/makemkv/default.nix +++ b/pkgs/applications/video/makemkv/default.nix @@ -3,21 +3,21 @@ }: let - version = "1.14.7"; + version = "1.15.0"; # Using two URLs as the first one will break as soon as a new version is released src_bin = fetchurl { urls = [ "http://www.makemkv.com/download/makemkv-bin-${version}.tar.gz" "http://www.makemkv.com/download/old/makemkv-bin-${version}.tar.gz" ]; - sha256 = "19j62vyk8havv38lh35108f7jmrqiqzikl3aqlsncxxa69m6fv5n"; + sha256 = "1zr63fqx4qcrnrbg1f97w9mp3yzzxf0dk8pw60y2d4436vanfba4"; }; src_oss = fetchurl { urls = [ "http://www.makemkv.com/download/makemkv-oss-${version}.tar.gz" "http://www.makemkv.com/download/old/makemkv-oss-${version}.tar.gz" ]; - sha256 = "1w6b4cvhfg1dv2claam0l6slhjqzy0n2lvwk1ggkh4c561qbfp59"; + sha256 = "01pdydll37inkq74874rqd5kk0maafnm1lqcv41jzgzjrfkky8d9"; }; in mkDerivation { pname = "makemkv"; diff --git a/pkgs/applications/video/obs-studio/default.nix b/pkgs/applications/video/obs-studio/default.nix index 61d58d42d43..fd292304cfe 100644 --- a/pkgs/applications/video/obs-studio/default.nix +++ b/pkgs/applications/video/obs-studio/default.nix @@ -37,13 +37,13 @@ let inherit (stdenv.lib) optional optionals; in mkDerivation rec { pname = "obs-studio"; - version = "25.0.0"; + version = "25.0.2"; src = fetchFromGitHub { owner = "obsproject"; repo = "obs-studio"; rev = version; - sha256 = "1xbvj69zk1x2sv39wqjp5s929c61szn32d3d0ykhxr6jxb0sih4w"; + sha256 = "12c2p179fijz5606h3bp4g88479gwgr7d5f8vk6j2n0rlzs76nsn"; }; nativeBuildInputs = [ cmake pkgconfig ]; diff --git a/pkgs/applications/video/openshot-qt/default.nix b/pkgs/applications/video/openshot-qt/default.nix index 1c5359e5f0c..e8a348b4328 100644 --- a/pkgs/applications/video/openshot-qt/default.nix +++ b/pkgs/applications/video/openshot-qt/default.nix @@ -2,26 +2,17 @@ , doxygen, python3Packages, libopenshot , wrapGAppsHook, gtk3 }: -let - fixPermissions = fetchpatch rec { - url = https://github.com/OpenShot/openshot-qt/pull/2973.patch; - sha256 = "037rh0p3k4sdzprlpyb73byjq3qhqk5zd0d4iin6bq602r8bbp0n"; - }; -in - mkDerivationWith python3Packages.buildPythonApplication rec { pname = "openshot-qt"; - version = "2.4.4"; + version = "2.5.1"; src = fetchFromGitHub { owner = "OpenShot"; repo = "openshot-qt"; rev = "v${version}"; - sha256 = "0mg63v36h7l8kv2sgf6x8c1n3ygddkqqwlciz7ccxpbm4x1idqba"; + sha256 = "0qc5i0ay6j2wab1whl41sjb71cj02pg6y79drf7asrprq8b2rmfq"; }; - patches = [ fixPermissions ]; - nativeBuildInputs = [ doxygen wrapGAppsHook ]; buildInputs = [ gtk3 ]; diff --git a/pkgs/applications/video/openshot-qt/libopenshot-audio.nix b/pkgs/applications/video/openshot-qt/libopenshot-audio.nix index 9bf21116455..253ef15a600 100644 --- a/pkgs/applications/video/openshot-qt/libopenshot-audio.nix +++ b/pkgs/applications/video/openshot-qt/libopenshot-audio.nix @@ -3,13 +3,13 @@ with stdenv.lib; stdenv.mkDerivation rec { pname = "libopenshot-audio"; - version = "0.1.8"; + version = "0.2.0"; src = fetchFromGitHub { owner = "OpenShot"; repo = "libopenshot-audio"; rev = "v${version}"; - sha256 = "1fvp6nmf30xzkmcznakh8dv5vn9d7nq051pqcqv638hsfppkmcrl"; + sha256 = "13if0m5mvlqly8gmbhschzb9papkgp3yqivklhb949dhy16m8zgf"; }; nativeBuildInputs = diff --git a/pkgs/applications/video/openshot-qt/libopenshot.nix b/pkgs/applications/video/openshot-qt/libopenshot.nix index 2ed4b8ce3e3..19852664a9f 100644 --- a/pkgs/applications/video/openshot-qt/libopenshot.nix +++ b/pkgs/applications/video/openshot-qt/libopenshot.nix @@ -8,13 +8,13 @@ with stdenv.lib; stdenv.mkDerivation rec { pname = "libopenshot"; - version = "0.2.3"; + version = "0.2.5"; src = fetchFromGitHub { owner = "OpenShot"; repo = "libopenshot"; rev = "v${version}"; - sha256 = "0r1qmr8ar5n72603xkj9h065vbpznrqsq88kxxmn9n8djyyvk03k"; + sha256 = "1mxjkgjmjzgf628y3rscc6rqf55hxgjpmvwxlncfk1216i5xskwp"; }; patchPhase = '' diff --git a/pkgs/applications/video/vdr/xineliboutput/default.nix b/pkgs/applications/video/vdr/xineliboutput/default.nix index 98fcd2b5d40..950cb253c12 100644 --- a/pkgs/applications/video/vdr/xineliboutput/default.nix +++ b/pkgs/applications/video/vdr/xineliboutput/default.nix @@ -1,21 +1,26 @@ { stdenv, fetchurl, lib, vdr , libav, libcap, libvdpau -, xineLib, libjpeg, libextractor, mesa, libGLU +, xineLib, libjpeg, libextractor, libglvnd, libGLU , libX11, libXext, libXrender, libXrandr , makeWrapper }: let - name = "vdr-xineliboutput-2.1.0"; - makeXinePluginPath = l: lib.concatStringsSep ":" (map (p: "${p}/lib/xine/plugins") l); - self = stdenv.mkDerivation { - inherit name; + self = stdenv.mkDerivation rec { + pname = "vdr-xineliboutput"; + version = "2.2.0"; src = fetchurl { - url = "mirror://sourceforge/project/xineliboutput/xineliboutput/${name}/${name}.tgz"; - sha256 = "1phrxpaz8li7z0qy241spawalhcmwkv5hh3gdijbv4h7mm899yba"; + url = "mirror://sourceforge/project/xineliboutput/xineliboutput/${pname}-${version}/${pname}-${version}.tgz"; + sha256 = "0a24hs5nr7ncf51c5agyfn1xrvb4p70y3i0s6dlyyd9bwbfjldns"; }; + postPatch = '' + # pkg-config is called with opengl, which do not contain needed glx symbols + substituteInPlace configure \ + --replace "X11 opengl" "X11 gl" + ''; + # configure don't accept argument --prefix dontAddPrefix = true; @@ -40,13 +45,13 @@ libcap libextractor libjpeg + libglvnd libGLU libvdpau libXext libXrandr libXrender libX11 - mesa vdr xineLib ]; diff --git a/pkgs/applications/virtualization/conmon/default.nix b/pkgs/applications/virtualization/conmon/default.nix index 37223b5cb42..449d06430a5 100644 --- a/pkgs/applications/virtualization/conmon/default.nix +++ b/pkgs/applications/virtualization/conmon/default.nix @@ -8,13 +8,13 @@ stdenv.mkDerivation rec { pname = "conmon"; - version = "2.0.13"; + version = "2.0.14"; src = fetchFromGitHub { owner = "containers"; repo = pname; rev = "v${version}"; - sha256 = "1cp9hhanndr6c71g4hdxfgwk348vnnlgijkr12f7qmd5acwfl7jc"; + sha256 = "1h5mxs8wrsm1q48fmd7slpa0j6g6kjbm1mcizyhk7xz1xrbnicc1"; }; nativeBuildInputs = [ pkg-config ]; diff --git a/pkgs/applications/virtualization/containerd/default.nix b/pkgs/applications/virtualization/containerd/default.nix index 6075a7bb219..7b48d8c1934 100644 --- a/pkgs/applications/virtualization/containerd/default.nix +++ b/pkgs/applications/virtualization/containerd/default.nix @@ -16,7 +16,10 @@ buildGoPackage rec { goPackagePath = "github.com/containerd/containerd"; outputs = [ "bin" "out" "man" ]; - buildInputs = [ btrfs-progs go-md2man utillinux ]; + nativeBuildInputs = [ go-md2man utillinux ]; + + buildInputs = [ btrfs-progs ]; + buildFlags = [ "VERSION=v${version}" ]; BUILDTAGS = [] diff --git a/pkgs/applications/virtualization/hercules/default.nix b/pkgs/applications/virtualization/hercules/default.nix new file mode 100644 index 00000000000..901e2f0b6ec --- /dev/null +++ b/pkgs/applications/virtualization/hercules/default.nix @@ -0,0 +1,18 @@ +{ stdenv, fetchurl }: + +stdenv.mkDerivation rec { + pname = "hercules"; + version = "3.13"; + + src = fetchurl { + url = "http://downloads.hercules-390.eu/${pname}-${version}.tar.gz"; + sha256 = "0zg6rwz8ib4alibf8lygi8qn69xx8n92kbi8b3jhi1ymb32mf349"; + }; + + meta = with stdenv.lib; { + description = "IBM mainframe emulator"; + homepage = "http://www.hercules-390.eu"; + license = licenses.qpl; + maintainers = [ maintainers.dkudriavtsev ]; + }; +} diff --git a/pkgs/applications/virtualization/singularity/default.nix b/pkgs/applications/virtualization/singularity/default.nix index 60825063cab..930afa31722 100644 --- a/pkgs/applications/virtualization/singularity/default.nix +++ b/pkgs/applications/virtualization/singularity/default.nix @@ -27,8 +27,8 @@ buildGoPackage rec { goPackagePath = "github.com/sylabs/singularity"; goDeps = ./deps.nix; - buildInputs = [ openssl ]; - nativeBuildInputs = [ removeReferencesTo utillinux which makeWrapper ]; + buildInputs = [ openssl utillinux ]; + nativeBuildInputs = [ removeReferencesTo which makeWrapper ]; propagatedBuildInputs = [ coreutils squashfsTools ]; prePatch = '' diff --git a/pkgs/applications/window-managers/i3/lock-fancy.nix b/pkgs/applications/window-managers/i3/lock-fancy.nix index 4ca69ce31b4..b6b354021d9 100644 --- a/pkgs/applications/window-managers/i3/lock-fancy.nix +++ b/pkgs/applications/window-managers/i3/lock-fancy.nix @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { sha256 = "00lqsvz1knb8iqy8lnkn3sf4c2c4nzb0smky63qf48m8za5aw9b1"; }; patchPhase = '' - sed -i -e "s|(mktemp)|(${coreutils}/bin/mktemp)|" i3lock-fancy + sed -i -e "s|mktemp|${coreutils}/bin/mktemp|" i3lock-fancy sed -i -e "s|'rm -f |'${coreutils}/bin/rm -f |" i3lock-fancy sed -i -e "s|scrot -z |${scrot}/bin/scrot -z |" i3lock-fancy sed -i -e "s|convert |${imagemagick.out}/bin/convert |" i3lock-fancy diff --git a/pkgs/build-support/ocaml/dune.nix b/pkgs/build-support/ocaml/dune.nix index a0aac144796..435bbe89c1c 100644 --- a/pkgs/build-support/ocaml/dune.nix +++ b/pkgs/build-support/ocaml/dune.nix @@ -1,7 +1,9 @@ -{ stdenv, ocaml, findlib, dune, opaline }: +{ stdenv, ocaml, findlib, dune, dune_2, opaline }: { pname, version, buildInputs ? [], ... }@args: +let Dune = if args.useDune2 or false then dune_2 else dune; in + if args ? minimumOCamlVersion && ! stdenv.lib.versionAtLeast ocaml.version args.minimumOCamlVersion then throw "${pname}-${version} is not available for OCaml ${ocaml.version}" @@ -29,7 +31,7 @@ stdenv.mkDerivation ({ name = "ocaml${ocaml.version}-${pname}-${version}"; - buildInputs = [ ocaml dune findlib ] ++ buildInputs; + buildInputs = [ ocaml Dune findlib ] ++ buildInputs; meta = (args.meta or {}) // { platforms = args.meta.platforms or ocaml.meta.platforms; }; diff --git a/pkgs/data/fonts/jetbrains-mono/default.nix b/pkgs/data/fonts/jetbrains-mono/default.nix index 8a7e841df67..4297d15da94 100644 --- a/pkgs/data/fonts/jetbrains-mono/default.nix +++ b/pkgs/data/fonts/jetbrains-mono/default.nix @@ -1,14 +1,14 @@ { lib, fetchzip }: let - version = "1.0.4"; + version = "1.0.5"; in fetchzip rec { name = "JetBrainsMono-${version}"; url = "https://github.com/JetBrains/JetBrainsMono/releases/download/v${version}/JetBrainsMono-${version}.zip"; - sha256 = "1m6wppz6mrh7475d92yvwrjgbwkkcfq444v0im90f5c7fsf3dzbd"; + sha256 = "1iqqix7rr22ij0cn7vg812qs4gbjpphndgbzm57abqk658lra4kl"; postFetch = '' mkdir -p $out/share/fonts diff --git a/pkgs/data/fonts/powerline-fonts/default.nix b/pkgs/data/fonts/powerline-fonts/default.nix index c8044905a08..f051ef76c9c 100644 --- a/pkgs/data/fonts/powerline-fonts/default.nix +++ b/pkgs/data/fonts/powerline-fonts/default.nix @@ -13,10 +13,10 @@ fetchFromGitHub { find . -name '*.ttf' -exec install -Dt $out/share/fonts/truetype {} \; find . -name '*.bdf' -exec install -Dt $out/share/fonts/bdf {} \; find . -name '*.pcf.gz' -exec install -Dt $out/share/fonts/pcf {} \; - find . -name '*.psf.gz' -exec install -Dt $out/share/fonts/psf {} \; + find . -name '*.psf.gz' -exec install -Dt $out/share/consolefonts {} \; ''; - sha256 = "0irifak86gn7hawzgxcy53s22y215mxc2kjncv37h7q44jsqdqww"; + sha256 = "0r8p4z3db17f5p8jr7sv80nglmjxhg83ncfvwg1dszldswr0dhvr"; meta = with lib; { homepage = https://github.com/powerline/fonts; diff --git a/pkgs/data/icons/elementary-xfce-icon-theme/default.nix b/pkgs/data/icons/elementary-xfce-icon-theme/default.nix index 221974c7941..3aebf09554c 100644 --- a/pkgs/data/icons/elementary-xfce-icon-theme/default.nix +++ b/pkgs/data/icons/elementary-xfce-icon-theme/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "elementary-xfce-icon-theme"; - version = "0.14"; + version = "0.15"; src = fetchFromGitHub { owner = "shimmerproject"; repo = "elementary-xfce"; rev = "v${version}"; - sha256 = "00sk6sv0kkfb3q0jqwcllzawi30rw8nfkkfn5l1qwqha48izw3r4"; + sha256 = "1f6qvpzxz759znishmr4b22n540y18glv41wmy91r78sa4g6x4sh"; }; nativeBuildInputs = [ pkgconfig gdk-pixbuf librsvg optipng gtk3 ]; @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Elementary icons for Xfce and other GTK desktops like GNOME"; - homepage = https://github.com/shimmerproject/elementary-xfce; + homepage = "https://github.com/shimmerproject/elementary-xfce"; license = licenses.gpl2; # darwin cannot deal with file names differing only in case platforms = platforms.linux; diff --git a/pkgs/data/icons/iconpack-obsidian/default.nix b/pkgs/data/icons/iconpack-obsidian/default.nix index 96514b6a4cd..c954af9e83d 100644 --- a/pkgs/data/icons/iconpack-obsidian/default.nix +++ b/pkgs/data/icons/iconpack-obsidian/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "iconpack-obsidian"; - version = "4.10"; + version = "4.11"; src = fetchFromGitHub { owner = "madmaxms"; repo = pname; rev = "v${version}"; - sha256 = "1imnbfrmizs0yx2165qj423y3m5vw9z33pqxmvhvs0rcwwddgqix"; + sha256 = "18sqnrvh4bbmg3zzm78n4s2hvv8a77ilg7xa3hl94mp9qla6gasn"; }; nativeBuildInputs = [ gtk3 ]; diff --git a/pkgs/data/themes/ant-theme/ant-bloody.nix b/pkgs/data/themes/ant-theme/ant-bloody.nix index 4ec1c3281d5..3c540889c13 100644 --- a/pkgs/data/themes/ant-theme/ant-bloody.nix +++ b/pkgs/data/themes/ant-theme/ant-bloody.nix @@ -16,8 +16,6 @@ stdenv.mkDerivation rec { gtk-engine-murrine ]; - dontBuild = true; - installPhase = '' runHook preInstall mkdir -p $out/share/themes/${themeName} @@ -26,10 +24,6 @@ stdenv.mkDerivation rec { runHook postInstall ''; - outputHashAlgo = "sha256"; - outputHashMode = "recursive"; - outputHash = "0v5pdhysa2460sh400cpq11smcfsi9g1lbfzx8nj1w5a21d811cz"; - meta = with stdenv.lib; { description = "Bloody variant of the Ant theme"; homepage = "https://github.com/EliverLara/${themeName}"; diff --git a/pkgs/data/themes/ant-theme/ant-dracula.nix b/pkgs/data/themes/ant-theme/ant-dracula.nix index baeafb25527..e365ab94c30 100644 --- a/pkgs/data/themes/ant-theme/ant-dracula.nix +++ b/pkgs/data/themes/ant-theme/ant-dracula.nix @@ -16,8 +16,6 @@ stdenv.mkDerivation rec { gtk-engine-murrine ]; - dontBuild = true; - installPhase = '' runHook preInstall mkdir -p $out/share/themes/${themeName} @@ -26,10 +24,6 @@ stdenv.mkDerivation rec { runHook postInstall ''; - outputHashAlgo = "sha256"; - outputHashMode = "recursive"; - outputHash = "1a9mkxfb0zixx8s05h15lhnnzygh2qzc8k2q10i0khx90bf72x14"; - meta = with stdenv.lib; { description = "Dracula variant of the Ant theme"; homepage = "https://github.com/EliverLara/${themeName}"; diff --git a/pkgs/data/themes/ant-theme/ant-nebula.nix b/pkgs/data/themes/ant-theme/ant-nebula.nix index 75aeb91f902..03ee3b5d2d4 100644 --- a/pkgs/data/themes/ant-theme/ant-nebula.nix +++ b/pkgs/data/themes/ant-theme/ant-nebula.nix @@ -16,8 +16,6 @@ stdenv.mkDerivation rec { gtk-engine-murrine ]; - dontBuild = true; - installPhase = '' runHook preInstall mkdir -p $out/share/themes/${themeName} @@ -26,10 +24,6 @@ stdenv.mkDerivation rec { runHook postInstall ''; - outputHashAlgo = "sha256"; - outputHashMode = "recursive"; - outputHash = "1lmlc4fvjnp05gshc0arfysh8r1xxzpzdv3j0bk40mjf3d59814c"; - meta = with stdenv.lib; { description = "Nebula variant of the Ant theme"; homepage = "https://github.com/EliverLara/${themeName}"; diff --git a/pkgs/data/themes/ant-theme/ant.nix b/pkgs/data/themes/ant-theme/ant.nix index 0908883e20e..736c8a1aa6a 100644 --- a/pkgs/data/themes/ant-theme/ant.nix +++ b/pkgs/data/themes/ant-theme/ant.nix @@ -16,8 +16,6 @@ stdenv.mkDerivation rec { gtk-engine-murrine ]; - dontBuild = true; - installPhase = '' runHook preInstall mkdir -p $out/share/themes/${themeName} @@ -26,10 +24,6 @@ stdenv.mkDerivation rec { runHook postInstall ''; - outputHashAlgo = "sha256"; - outputHashMode = "recursive"; - outputHash = "07iv4jangqnzrvjr749vl3x31z7dxds51bq1bhz5acbjbwf25wjf"; - meta = with stdenv.lib; { description = "A flat and light theme with a modern look"; homepage = "https://github.com/EliverLara/${themeName}"; diff --git a/pkgs/data/themes/greybird/default.nix b/pkgs/data/themes/greybird/default.nix index cc665749d3c..794034af7e7 100644 --- a/pkgs/data/themes/greybird/default.nix +++ b/pkgs/data/themes/greybird/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "greybird"; - version = "3.22.11"; + version = "3.22.12"; src = fetchFromGitHub { owner = "shimmerproject"; repo = pname; rev = "v${version}"; - sha256 = "00x7dcjldph9k0nmvc8hyh3k4lhbmwk791rywd89ry6jivrx40pc"; + sha256 = "1j66ddvl3pmwh2v8ajm8r5g5nbsr7r262ff1qn2nf3i0gy8b3lq8"; }; nativeBuildInputs = [ diff --git a/pkgs/data/themes/matcha/default.nix b/pkgs/data/themes/matcha/default.nix index c2274c57bbf..ecf508a61b3 100644 --- a/pkgs/data/themes/matcha/default.nix +++ b/pkgs/data/themes/matcha/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "matcha"; - version = "2020-03-15"; + version = "2020-03-24"; src = fetchFromGitHub { owner = "vinceliuice"; repo = pname; rev = version; - sha256 = "0hj1hpg9p46hyfcssd5ac7m599zkq7nrbwdwfbp98g5rw4fq8jaw"; + sha256 = "13lcv2cihb24zjsdzhh188kd1rga49mzfa2sddqd63pqxxph188z"; }; buildInputs = [ gdk-pixbuf librsvg ]; diff --git a/pkgs/desktops/cinnamon/default.nix b/pkgs/desktops/cinnamon/default.nix index 802c0bdaa88..6cdda991436 100644 --- a/pkgs/desktops/cinnamon/default.nix +++ b/pkgs/desktops/cinnamon/default.nix @@ -8,6 +8,7 @@ lib.makeScope pkgs.newScope (self: with self; { cinnamon-settings-daemon = callPackage ./cinnamon-settings-daemon { }; cjs = callPackage ./cjs { }; nemo = callPackage ./nemo { }; + mint-themes = callPackage ./mint-themes { }; muffin = callPackage ./muffin { }; xapps = callPackage ./xapps { }; }) diff --git a/pkgs/desktops/cinnamon/mint-themes/default.nix b/pkgs/desktops/cinnamon/mint-themes/default.nix new file mode 100644 index 00000000000..770baf77bcd --- /dev/null +++ b/pkgs/desktops/cinnamon/mint-themes/default.nix @@ -0,0 +1,41 @@ +{ fetchFromGitHub +, stdenv +, python3 +, sassc +, sass +}: + +stdenv.mkDerivation rec { + pname = "mint-themes"; + version = "1.8.0"; + + src = fetchFromGitHub { + owner = "linuxmint"; + repo = pname; + rev = version; + sha256 = "0a8f2cmcl00y4607v5qr2zdcdjc0z74ixm2yakscvw6qzgsh9fac"; + }; + + nativeBuildInputs = [ + python3 + sassc + sass + ]; + + preBuild = '' + patchShebangs . + ''; + + installPhase = '' + mkdir -p $out + mv usr/share $out + ''; + + meta = with stdenv.lib; { + homepage = "https://github.com/linuxmint/mint-themes"; + description = "Mint-X and Mint-Y themes for the cinnamon desktop"; + license = licenses.gpl3; # from debian/copyright + platforms = platforms.linux; + maintainers = [ maintainers.mkg20001 ]; + }; +} diff --git a/pkgs/desktops/gnome-3/core/gucharmap/default.nix b/pkgs/desktops/gnome-3/core/gucharmap/default.nix index 3d2da33732b..b6e8b4c11c0 100644 --- a/pkgs/desktops/gnome-3/core/gucharmap/default.nix +++ b/pkgs/desktops/gnome-3/core/gucharmap/default.nix @@ -10,7 +10,6 @@ , glib , desktop-file-utils , gtk-doc -, libtool , wrapGAppsHook , gnome3 , itstool @@ -66,7 +65,6 @@ in stdenv.mkDerivation rec { unzip intltool itstool - libtool gtk-doc docbook_xsl docbook_xml_dtd_412 diff --git a/pkgs/desktops/gnome-3/extensions/impatience/default.nix b/pkgs/desktops/gnome-3/extensions/impatience/default.nix index b36f3489b57..c3ca1b62121 100644 --- a/pkgs/desktops/gnome-3/extensions/impatience/default.nix +++ b/pkgs/desktops/gnome-3/extensions/impatience/default.nix @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Speed up builtin gnome-shell animations"; license = licenses.gpl3Plus; - maintainers = with maintainers; [ aneeshusa timbertson tiramiseb ]; + maintainers = with maintainers; [ timbertson tiramiseb ]; homepage = http://gfxmonk.net/dist/0install/gnome-shell-impatience.xml; }; } diff --git a/pkgs/desktops/gnome-3/extensions/system-monitor/default.nix b/pkgs/desktops/gnome-3/extensions/system-monitor/default.nix index 13b2d6a1db4..d14dbd1c411 100644 --- a/pkgs/desktops/gnome-3/extensions/system-monitor/default.nix +++ b/pkgs/desktops/gnome-3/extensions/system-monitor/default.nix @@ -39,7 +39,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Display system informations in gnome shell status bar"; license = licenses.gpl3Plus; - maintainers = with maintainers; [ aneeshusa tiramiseb ]; + maintainers = with maintainers; [ tiramiseb ]; homepage = https://github.com/paradoxxxzero/gnome-shell-system-monitor-applet; }; } diff --git a/pkgs/desktops/mate/mate-power-manager/default.nix b/pkgs/desktops/mate/mate-power-manager/default.nix index 37ff34e081a..30f1c48fa5f 100644 --- a/pkgs/desktops/mate/mate-power-manager/default.nix +++ b/pkgs/desktops/mate/mate-power-manager/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, gettext, glib, itstool, libxml2, mate, libnotify, libcanberra-gtk3, dbus-glib, upower, gnome3, gtk3, libtool, polkit, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, gettext, glib, itstool, libxml2, mate-panel, libnotify, libcanberra-gtk3, dbus-glib, upower, gnome3, gtk3, libtool, polkit, wrapGAppsHook }: stdenv.mkDerivation rec { pname = "mate-power-manager"; - version = "1.24.0"; + version = "1.24.1"; src = fetchurl { url = "https://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1h6wm8vna97iayhwqh7rfsc87715np12nxa72w27p4zl54bdkdlg"; + sha256 = "13ar40x5hs4d4h81q8qsy0agbx5wnarry3mbhws54zydcxd7j20a"; }; nativeBuildInputs = [ @@ -27,7 +27,7 @@ stdenv.mkDerivation rec { dbus-glib upower polkit - mate.mate-panel + mate-panel ]; configureFlags = [ "--enable-applets" ]; diff --git a/pkgs/desktops/mate/mate-session-manager/default.nix b/pkgs/desktops/mate/mate-session-manager/default.nix index 1db0947bf2a..09973e04bc0 100644 --- a/pkgs/desktops/mate/mate-session-manager/default.nix +++ b/pkgs/desktops/mate/mate-session-manager/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl, pkgconfig, gettext, xtrans, dbus-glib, systemd, libSM, libXtst, gtk3, epoxy, polkit, hicolor-icon-theme, mate, - wrapGAppsHook + wrapGAppsHook, fetchpatch }: stdenv.mkDerivation rec { @@ -12,6 +12,14 @@ stdenv.mkDerivation rec { sha256 = "01scj5d1xlri9b2id8gm9kfni9nzhdjdf7rag7fvcxwqp7baz3h3"; }; + patches = [ + # allow turning on debugging from environment variable + (fetchpatch { + url = "https://github.com/mate-desktop/mate-session-manager/commit/3ab6fbfc811d00100d7a2959f8bbb157b536690d.patch"; + sha256 = "0yjaklq0mp44clymyhy240kxlw95z3azmravh4f5pfm9dys33sg0"; + }) + ]; + nativeBuildInputs = [ pkgconfig gettext @@ -33,6 +41,14 @@ stdenv.mkDerivation rec { enableParallelBuilding = true; + postFixup = '' + substituteInPlace $out/share/xsessions/mate.desktop \ + --replace "Exec=mate-session" "Exec=$out/bin/mate-session" \ + --replace "TryExec=mate-session" "TryExec=$out/bin/mate-session" + ''; + + passthru.providedSessions = [ "mate" ]; + meta = with stdenv.lib; { description = "MATE Desktop session manager"; homepage = "https://github.com/mate-desktop/mate-session-manager"; diff --git a/pkgs/desktops/pantheon/apps/switchboard/wrapper.nix b/pkgs/desktops/pantheon/apps/switchboard/wrapper.nix index cc6b37095fd..67f4105ff3d 100644 --- a/pkgs/desktops/pantheon/apps/switchboard/wrapper.nix +++ b/pkgs/desktops/pantheon/apps/switchboard/wrapper.nix @@ -1,7 +1,8 @@ { wrapGAppsHook , glib , lib -, symlinkJoin +, stdenv +, xorg , switchboard , switchboardPlugs , plugs @@ -14,26 +15,45 @@ let if plugs == null then switchboardPlugs else plugs ++ (lib.optionals useDefaultPlugs switchboardPlugs); in -symlinkJoin { +stdenv.mkDerivation rec { name = "${switchboard.name}-with-plugs"; + src = null; + paths = [ switchboard ] ++ selectedPlugs; - buildInputs = [ - wrapGAppsHook + passAsFile = [ "paths" ]; + + nativeBuildInputs = [ glib - ] ++ (lib.forEach selectedPlugs (x: x.buildInputs)) + wrapGAppsHook + ]; + + buildInputs = lib.forEach selectedPlugs (x: x.buildInputs) ++ selectedPlugs; - postBuild = '' - make_glib_find_gsettings_schemas + dontUnpack = true; + dontConfigure = true; + dontBuild = true; - gappsWrapperArgs+=(--set SWITCHBOARD_PLUGS_PATH "$out/lib/switchboard") + preferLocalBuild = true; + allowSubstitutes = false; - wrapGAppsHook + installPhase = '' + mkdir -p $out + for i in $(cat $pathsPath); do + ${xorg.lndir}/bin/lndir -silent $i $out + done + ''; + + preFixup = '' + gappsWrapperArgs+=( + --set SWITCHBOARD_PLUGS_PATH "$out/lib/switchboard" + ) ''; inherit (switchboard) meta; } + diff --git a/pkgs/desktops/pantheon/desktop/wingpanel/wrapper.nix b/pkgs/desktops/pantheon/desktop/wingpanel/wrapper.nix index 596ee816f89..ca887d45dc3 100644 --- a/pkgs/desktops/pantheon/desktop/wingpanel/wrapper.nix +++ b/pkgs/desktops/pantheon/desktop/wingpanel/wrapper.nix @@ -1,7 +1,8 @@ { lib , wrapGAppsHook , glib -, symlinkJoin +, stdenv +, xorg , wingpanel , wingpanelIndicators , switchboard-with-plugs @@ -15,30 +16,44 @@ let if indicators == null then wingpanelIndicators else indicators ++ (lib.optionals useDefaultIndicators wingpanelIndicators); in -symlinkJoin { +stdenv.mkDerivation rec { name = "${wingpanel.name}-with-indicators"; + src = null; + paths = [ wingpanel ] ++ selectedIndicators; - buildInputs = [ + passAsFile = [ "paths" ]; + + nativeBuildInputs = [ glib wrapGAppsHook - ] ++ (lib.forEach selectedIndicators (x: x.buildInputs)) + ]; + + buildInputs = lib.forEach selectedIndicators (x: x.buildInputs) ++ selectedIndicators; - # We have to set SWITCHBOARD_PLUGS_PATH because wingpanel-applications-menu - # has a plugin to search switchboard settings - postBuild = '' - make_glib_find_gsettings_schemas + dontUnpack = true; + dontConfigure = true; + dontBuild = true; + + preferLocalBuild = true; + allowSubstitutes = false; + installPhase = '' + mkdir -p $out + for i in $(cat $pathsPath); do + ${xorg.lndir}/bin/lndir -silent $i $out + done + ''; + + preFixup = '' gappsWrapperArgs+=( --set WINGPANEL_INDICATORS_PATH "$out/lib/wingpanel" --set SWITCHBOARD_PLUGS_PATH "${switchboard-with-plugs}/lib/switchboard" ) - - wrapGAppsHook ''; inherit (wingpanel) meta; diff --git a/pkgs/development/compilers/as31/default.nix b/pkgs/development/compilers/as31/default.nix deleted file mode 100644 index b70fde00e15..00000000000 --- a/pkgs/development/compilers/as31/default.nix +++ /dev/null @@ -1,40 +0,0 @@ -{ stdenv, fetchpatch, fetchurl, yacc }: - -let - - version = "2.3.1"; - -in stdenv.mkDerivation { - pname = "as31"; - inherit version; - src = fetchurl { - name = "as31-${version}.tar.gz"; # Nix doesn't like the colons in the URL - url = "http://wiki.erazor-zone.de/_media/wiki:projects:linux:as31:as31-${version}.tar.gz"; - sha256 = "0mbk6z7z03xb0r0ccyzlgkjdjmdzknck4yxxmgr9k7v8f5c348fd"; - }; - - buildInputs = [ yacc ]; - - patches = [ - (fetchpatch { - name = "CVE-2012-0808.patch"; - url = "https://bugs.debian.org/cgi-bin/bugreport.cgi?att=1;bug=655496;filename=as31-mkstemps.patch;msg=5"; - sha256 = "0iia4wa8m141bwz4588yxb1dp2qwhapcii382sncm6jvwyngwh21"; - }) - ]; - - preConfigure = '' - chmod +x ./configure - ''; - - postConfigure = '' - rm as31/parser.c - ''; - - meta = with stdenv.lib; { - homepage = http://wiki.erazor-zone.de/wiki:projects:linux:as31; - description = "An 8031/8051 assembler by Ken Stauffer and Theo Deraadt which produces a variety of object code output formats"; - maintainers = with maintainers; [ aneeshusa ]; - platforms = with platforms; unix; - }; -} diff --git a/pkgs/development/compilers/elm/default.nix b/pkgs/development/compilers/elm/default.nix index fa9f72ebd04..3e2d3ce2ad2 100644 --- a/pkgs/development/compilers/elm/default.nix +++ b/pkgs/development/compilers/elm/default.nix @@ -52,14 +52,6 @@ let })); elm-instrument = justStaticExecutables (overrideCabal (self.callPackage ./packages/elm-instrument.nix {}) (drv: { - patches = [( - # GHC 8.8.1 and Cabal >= 1.25.0 support - # https://github.com/zwilias/elm-instrument/pull/3 - fetchpatch { - url = "https://github.com/turboMaCk/elm-instrument/commit/4272db2aea742c8b54509e536fa4f35d04f95da5.patch"; - sha256 = "1d1lc43lp3x5jfhlyb1b7na7nj1g1i1vc1np26pcisg9c2s7gjz6"; - } - )]; prePatch = '' sed "s/desc <-.*/let desc = \"${drv.version}\"/g" Setup.hs --in-place ''; diff --git a/pkgs/development/compilers/elm/packages/elm-instrument.nix b/pkgs/development/compilers/elm/packages/elm-instrument.nix index bd769016227..54077994fbd 100644 --- a/pkgs/development/compilers/elm/packages/elm-instrument.nix +++ b/pkgs/development/compilers/elm/packages/elm-instrument.nix @@ -3,15 +3,15 @@ , fetchgit, filepath, free, HUnit, indents, json, mtl , optparse-applicative, parsec, process, QuickCheck, quickcheck-io , split, stdenv, tasty, tasty-golden, tasty-hunit, tasty-quickcheck -, text, elm +, text }: mkDerivation { pname = "elm-instrument"; version = "0.0.7"; src = fetchgit { - url = "https://github.com/zwilias/elm-instrument.git"; - sha256 = "14yfzwsyvgc6rzn19sdmwk2mc1vma9hcljnmjnmlig8mp0271v56"; - rev = "31b527e405a6afdb25bb87ad7bd14f979e65cff7"; + url = "https://github.com/zwilias/elm-instrument"; + sha256 = "167d7l2547zxdj7i60r6vazznd9ichwc0bqckh3vrh46glkz06jv"; + rev = "63e15bb5ec5f812e248e61b6944189fa4a0aee4e"; fetchSubmodules = true; }; isLibrary = true; diff --git a/pkgs/development/compilers/ghc/8.2.2-binary.nix b/pkgs/development/compilers/ghc/8.2.2-binary.nix index 1f24b9a1ff2..9f546bcb541 100644 --- a/pkgs/development/compilers/ghc/8.2.2-binary.nix +++ b/pkgs/development/compilers/ghc/8.2.2-binary.nix @@ -1,12 +1,15 @@ { stdenv, substituteAll , fetchurl, perl, gcc, llvm , ncurses5, gmp, glibc, libiconv +, llvmPackages }: # Prebuilt only does native assert stdenv.targetPlatform == stdenv.hostPlatform; let + useLLVM = !stdenv.targetPlatform.isx86; + libPath = stdenv.lib.makeLibraryPath ([ ncurses5 gmp ] ++ stdenv.lib.optional (stdenv.hostPlatform.isDarwin) libiconv); @@ -53,7 +56,7 @@ stdenv.mkDerivation rec { or (throw "cannot bootstrap GHC on this platform")); nativeBuildInputs = [ perl ]; - buildInputs = stdenv.lib.optionals (stdenv.targetPlatform.isAarch32 || stdenv.targetPlatform.isAarch64) [ llvm ]; + propagatedBuildInputs = stdenv.lib.optionals useLLVM [ llvmPackages.llvm ]; # Cannot patchelf beforehand due to relative RPATHs that anticipate # the final install location/ diff --git a/pkgs/development/compilers/nextpnr/default.nix b/pkgs/development/compilers/nextpnr/default.nix index afd08f6e96e..b0fbc2eb8d1 100644 --- a/pkgs/development/compilers/nextpnr/default.nix +++ b/pkgs/development/compilers/nextpnr/default.nix @@ -14,14 +14,14 @@ let in with stdenv; mkDerivation rec { pname = "nextpnr"; - version = "2020.02.04"; + version = "2020.03.25"; srcs = [ (fetchFromGitHub { owner = "YosysHQ"; repo = "nextpnr"; - rev = "ca733561873cd54be047ae30a94efcd71b3f8be5"; - sha256 = "176drrq6w53qbwmnksa1b22w9qz3gd1db9hy2lyv8svbcdxd9qwp"; + rev = "a3ede0293a50c910e7d96319b2084d50f2501a6b"; + sha256 = "0ikfjva4gqmlx6y7mjamg03ad7x9gnz32ahqv798ynd87svq10aq"; name = "nextpnr"; }) (fetchFromGitHub { diff --git a/pkgs/development/compilers/ocaml/generic.nix b/pkgs/development/compilers/ocaml/generic.nix index 6980b6e5ff1..12369ebcc6f 100644 --- a/pkgs/development/compilers/ocaml/generic.nix +++ b/pkgs/development/compilers/ocaml/generic.nix @@ -11,7 +11,7 @@ let in { stdenv, fetchurl, ncurses, buildEnv -, libX11, xorgproto, useX11 ? safeX11 stdenv +, libX11, xorgproto, useX11 ? safeX11 stdenv && !stdenv.lib.versionAtLeast version "4.09" , aflSupport ? false , flambdaSupport ? false }: diff --git a/pkgs/development/compilers/rgbds/default.nix b/pkgs/development/compilers/rgbds/default.nix index bf2594b9bf4..52d388beaed 100644 --- a/pkgs/development/compilers/rgbds/default.nix +++ b/pkgs/development/compilers/rgbds/default.nix @@ -6,18 +6,18 @@ stdenv.mkDerivation rec { pname = "rgbds"; - version = "0.3.9"; + version = "0.3.10"; src = fetchFromGitHub { owner = "rednex"; repo = "rgbds"; rev = "v${version}"; - sha256 = "0pzd9ig3ahpgq7jbj82grllxx1v01d620insr2m8h0c6jj25n5hv"; + sha256 = "0752fbffxgxyf3jw2iij88l05dqhppgcxy7dvk82hp4wdg4cflpq"; }; nativeBuildInputs = [ bison flex pkg-config libpng ]; installFlags = [ "PREFIX=\${out}" ]; meta = with stdenv.lib; { - homepage = https://rednex.github.io/rgbds/; + homepage = "https://rednex.github.io/rgbds/"; description = "A free assembler/linker package for the Game Boy and Game Boy Color"; license = licenses.mit; longDescription = diff --git a/pkgs/development/compilers/yosys/default.nix b/pkgs/development/compilers/yosys/default.nix index 5e26e37b443..3a54d42498c 100644 --- a/pkgs/development/compilers/yosys/default.nix +++ b/pkgs/development/compilers/yosys/default.nix @@ -15,13 +15,13 @@ stdenv.mkDerivation rec { pname = "yosys"; - version = "2020.03.16"; + version = "2020.03.24"; src = fetchFromGitHub { owner = "YosysHQ"; repo = "yosys"; - rev = "ed4fa19ba2812c286562baf26bbbcb49afad83bc"; - sha256 = "1sza5ng0q8dy6p4hks9b2db129xjcid9n6l8aglf2cj5ks82k5nv"; + rev = "c9555c9adeba886a308c60615ac794ec20d9276e"; + sha256 = "1fh118fv06jyfmkx6zy0w2k0rjj22m0ffyll3k5giaw8zzaf0j3a"; }; enableParallelBuilding = true; diff --git a/pkgs/development/compilers/zasm/default.nix b/pkgs/development/compilers/zasm/default.nix new file mode 100644 index 00000000000..174092496ba --- /dev/null +++ b/pkgs/development/compilers/zasm/default.nix @@ -0,0 +1,44 @@ +{ fetchFromGitHub, zlib, stdenv }: +let + libs-src = fetchFromGitHub { + owner = "megatokio"; + repo = "Libraries"; + rev = "97ea480051b106e83a086dd42583dfd3e9d458a1"; + sha256 = "1kqmjb9660mnb0r18s1grrrisx6b73ijsinlyr97vz6992jd5dzh"; + }; +in +stdenv.mkDerivation { + pname = "zasm"; + version = "4.2.6"; + src = fetchFromGitHub { + owner = "megatokio"; + repo = "zasm"; + rev = "f1424add17a5514895a598d6b5e3982579961519"; + sha256 = "1kqnqdqp2bfsazs6vfx2aiqanxxagn8plx8g6rc11vmr8yqnnpks"; + }; + + buildInputs = [ zlib ]; + + configurePhase = '' + ln -sf ${libs-src} Libraries + ''; + + buildPhase = '' + cd Linux + make + ''; + + installPhase = '' + mkdir -p $out/bin + mv zasm $out/bin + ''; + + meta = with stdenv.lib; { + description = "Z80 / 8080 assembler (for unix-style OS)"; + homepage = "https://k1.spdns.de/Develop/Projects/zasm/Distributions/"; + license = licenses.bsd2; + maintainers = [ maintainers.turbomack ]; + platforms = platforms.linux; + badPlatforms = platforms.aarch64; + }; +} diff --git a/pkgs/development/interpreters/octave/default.nix b/pkgs/development/interpreters/octave/default.nix index b32d15b2a1f..4a63d186201 100644 --- a/pkgs/development/interpreters/octave/default.nix +++ b/pkgs/development/interpreters/octave/default.nix @@ -49,6 +49,8 @@ # - JIT compiler for loops: , enableJIT ? false , llvm ? null +, libiconv +, darwin }: let @@ -107,10 +109,13 @@ stdenv.mkDerivation rec { ++ (stdenv.lib.optional (gnuplot != null) gnuplot) ++ (stdenv.lib.optional (python != null) python) ++ (stdenv.lib.optionals (!stdenv.isDarwin) [ libGL libGLU libX11 ]) + ++ (stdenv.lib.optionals (stdenv.isDarwin) [ libiconv + darwin.apple_sdk.frameworks.Accelerate + darwin.apple_sdk.frameworks.Cocoa ]) ; nativeBuildInputs = [ pkgconfig - gfortran + gfortran # Listed here as well because it's outputs are split fftw fftwSinglePrec @@ -135,6 +140,7 @@ stdenv.mkDerivation rec { "--with-blas=openblas" "--with-lapack=openblas" ] + ++ (if stdenv.isDarwin then [ "--enable-link-all-dependencies" ] else [ ]) ++ stdenv.lib.optionals enableReadline [ "--enable-readline" ] ++ stdenv.lib.optionals openblas.blas64 [ "--enable-64" ] ++ stdenv.lib.optionals stdenv.isDarwin [ "--with-x=no" ] @@ -161,7 +167,7 @@ stdenv.mkDerivation rec { # https://savannah.gnu.org/bugs/?func=detailitem&item_id=56425 is the best attempt to fix JIT broken = enableJIT; platforms = if overridePlatforms == null then - (with stdenv.lib.platforms; linux ++ darwin) + (with stdenv.lib; platforms.linux ++ platforms.darwin) else overridePlatforms; }; } diff --git a/pkgs/development/interpreters/racket/default.nix b/pkgs/development/interpreters/racket/default.nix index 3e9716af550..8e66bf96e8c 100644 --- a/pkgs/development/interpreters/racket/default.nix +++ b/pkgs/development/interpreters/racket/default.nix @@ -105,7 +105,7 @@ stdenv.mkDerivation rec { homepage = https://racket-lang.org/; license = with licenses; [ asl20 /* or */ mit ]; maintainers = with maintainers; [ kkallio henrytill vrthra ]; - platforms = [ "x86_64-darwin" "x86_64-linux" ]; + platforms = [ "x86_64-darwin" "x86_64-linux" "aarch64-linux" ]; broken = stdenv.isDarwin; # No support yet for setting FFI lookup path }; } diff --git a/pkgs/development/libraries/audio/libinstpatch/default.nix b/pkgs/development/libraries/audio/libinstpatch/default.nix new file mode 100644 index 00000000000..d5c15ad3e38 --- /dev/null +++ b/pkgs/development/libraries/audio/libinstpatch/default.nix @@ -0,0 +1,29 @@ +{ stdenv, fetchFromGitHub, cmake, pkg-config, glib, libsndfile }: + +stdenv.mkDerivation rec { + pname = "libinstpatch"; + version = "1.1.3"; + + src = fetchFromGitHub { + owner = "swami"; + repo = pname; + rev = "v${version}"; + sha256 = "0ksilyszcm7mwb6m8qyrgalvh4h2vkyz7wzj0xczcqkj15bcl4lw"; + }; + + nativeBuildInputs = [ cmake pkg-config ]; + + propagatedBuildInputs = [ glib libsndfile ]; # Both are needed for includes. + + cmakeFlags = [ + "-DLIB_SUFFIX=" # Install in $out/lib. + ]; + + meta = with stdenv.lib; { + homepage = http://www.swamiproject.org/; + description = "MIDI instrument patch files support library"; + license = licenses.lgpl21; + maintainers = with maintainers; [ orivej ]; + platforms = platforms.linux; + }; +} diff --git a/pkgs/development/libraries/audio/lilv/default.nix b/pkgs/development/libraries/audio/lilv/default.nix index 44be67d71b4..233b93d6782 100644 --- a/pkgs/development/libraries/audio/lilv/default.nix +++ b/pkgs/development/libraries/audio/lilv/default.nix @@ -9,8 +9,11 @@ stdenv.mkDerivation rec { sha256 = "1p3hafsxgs5d4za7n66lf5nz74qssfqpmk520cm7iq2njvvlqm2z"; }; + patches = [ ./lilv-pkgconfig.patch ]; + nativeBuildInputs = [ pkgconfig python3 wafHook ]; - buildInputs = [ lv2 serd sord sratom ]; + buildInputs = [ serd sord sratom ]; + propagatedBuildInputs = [ lv2 ]; meta = with stdenv.lib; { homepage = http://drobilla.net/software/lilv; diff --git a/pkgs/development/libraries/audio/lilv/lilv-pkgconfig.patch b/pkgs/development/libraries/audio/lilv/lilv-pkgconfig.patch new file mode 100644 index 00000000000..a5a8c6007e4 --- /dev/null +++ b/pkgs/development/libraries/audio/lilv/lilv-pkgconfig.patch @@ -0,0 +1,6 @@ +--- a/lilv.pc.in ++++ b/lilv.pc.in +@@ -9 +9,2 @@ Description: Simple C library for hosting LV2 plugins +-Requires: @LILV_PKG_DEPS@ ++Requires: lv2 ++Requires.private: @LILV_PKG_DEPS@ diff --git a/pkgs/development/libraries/audio/rtaudio/default.nix b/pkgs/development/libraries/audio/rtaudio/default.nix index f26f2b07e71..fc14236fe24 100644 --- a/pkgs/development/libraries/audio/rtaudio/default.nix +++ b/pkgs/development/libraries/audio/rtaudio/default.nix @@ -11,6 +11,8 @@ stdenv.mkDerivation rec { sha256 = "1pglnjz907ajlhnlnig3p0sx7hdkpggr8ss7b3wzf1lykzgv9l52"; }; + patches = [ ./rtaudio-pkgconfig.patch ]; + enableParallelBuilding = true; buildInputs = [ autoconf automake libtool libjack2 alsaLib pulseaudio rtmidi ]; diff --git a/pkgs/development/libraries/audio/rtaudio/rtaudio-pkgconfig.patch b/pkgs/development/libraries/audio/rtaudio/rtaudio-pkgconfig.patch new file mode 100644 index 00000000000..8536332d46d --- /dev/null +++ b/pkgs/development/libraries/audio/rtaudio/rtaudio-pkgconfig.patch @@ -0,0 +1,5 @@ +--- a/rtaudio.pc.in ++++ b/rtaudio.pc.in +@@ -9 +9 @@ Version: @PACKAGE_VERSION@ +-Requires: @req@ ++Requires.private: @req@ diff --git a/pkgs/development/libraries/gstreamer/bad/default.nix b/pkgs/development/libraries/gstreamer/bad/default.nix index 9b2d3d0e247..da04f0b05ed 100644 --- a/pkgs/development/libraries/gstreamer/bad/default.nix +++ b/pkgs/development/libraries/gstreamer/bad/default.nix @@ -16,7 +16,7 @@ , libass , libkate , libmms -, librdf +, lrdf , ladspaH , libnice , webrtc-audio-processing @@ -178,13 +178,12 @@ in stdenv.mkDerivation rec { libgudev libnice libofa - librdf sbc spandsp # ladspa plug-in ladspaH - librdf # TODO: make build on Darwin + lrdf # TODO: make build on Darwin # lv2 plug-in lilv diff --git a/pkgs/development/libraries/hiredis/default.nix b/pkgs/development/libraries/hiredis/default.nix index 6dc3871e1c1..4055cb8eb71 100644 --- a/pkgs/development/libraries/hiredis/default.nix +++ b/pkgs/development/libraries/hiredis/default.nix @@ -2,19 +2,19 @@ stdenv.mkDerivation rec { pname = "hiredis"; - version = "0.14.0"; + version = "0.14.1"; src = fetchFromGitHub { owner = "redis"; repo = "hiredis"; rev = "v${version}"; - sha256 = "0ik38lwpmm780jqrry95ckf6flmvd172444p3q8d1k9n99jwij9c"; + sha256 = "1r93ssniiv610pj6d78i1cngism0cdv2k8cmzy7jf9klf76jiwfq"; }; PREFIX = "\${out}"; meta = with stdenv.lib; { - homepage = https://github.com/redis/hiredis; + homepage = "https://github.com/redis/hiredis"; description = "Minimalistic C client for Redis >= 1.2"; license = licenses.bsd3; platforms = platforms.all; diff --git a/pkgs/development/libraries/libeatmydata/default.nix b/pkgs/development/libraries/libeatmydata/default.nix index 5127231dab6..29ca85cdeb0 100644 --- a/pkgs/development/libraries/libeatmydata/default.nix +++ b/pkgs/development/libraries/libeatmydata/default.nix @@ -2,17 +2,16 @@ stdenv.mkDerivation rec { name = "libeatmydata-105"; - + src = fetchurl { url = "https://www.flamingspork.com/projects/libeatmydata/${name}.tar.gz"; sha256 = "1pd8sc73cgc41ldsvq6g8ics1m5k8gdcb91as9yg8z5jnrld1lmx"; }; - buildInputs = [ makeWrapper ]; - - postInstall = '' - wrapProgram $out/bin/eatmydata \ - --prefix PATH : $out/bin + patches = [ ./find-shell-lib.patch ]; + patchFlags = "-p0"; + postPatch = '' + substituteInPlace eatmydata.in --replace NIX_OUT_DIR $out ''; meta = { diff --git a/pkgs/development/libraries/libeatmydata/find-shell-lib.patch b/pkgs/development/libraries/libeatmydata/find-shell-lib.patch new file mode 100644 index 00000000000..a6320edda29 --- /dev/null +++ b/pkgs/development/libraries/libeatmydata/find-shell-lib.patch @@ -0,0 +1,20 @@ +--- eatmydata.in 2020-02-01 18:10:59.618679823 -0800 ++++ eatmydata.in.new 2020-02-01 18:08:25.092620247 -0800 +@@ -15,15 +15,8 @@ + # You should have received a copy of the GNU General Public License + # along with this program. If not, see <http://www.gnu.org/licenses/>. + +-export `dpkg-architecture|grep DEB_BUILD_MULTIARCH` +- +-shlib="/usr/lib/$DEB_BUILD_MULTIARCH/eatmydata.sh" +-if [ -f "$shlib" ]; then +- . "$shlib" +-else +- echo "Unable to locate eatmydata shell library, it was not enabled" >&2 +- exec "$@" +-fi ++shlib="NIX_OUT_DIR/libexec/eatmydata.sh" ++. "$shlib" + + usage() + { diff --git a/pkgs/development/libraries/libx86emu/default.nix b/pkgs/development/libraries/libx86emu/default.nix index 35d45845ce6..1b10ac5fdaa 100644 --- a/pkgs/development/libraries/libx86emu/default.nix +++ b/pkgs/development/libraries/libx86emu/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "libx86emu"; - version = "2.6"; + version = "3.1"; src = fetchFromGitHub { owner = "wfeldt"; repo = "libx86emu"; rev = version; - sha256 = "1a43xrgxyl1bawcyf4qnvasgjrmnl1npzlz07yz90vyg56x5c102"; + sha256 = "104xqc6nj9rpi7knl3dfqvasf087hlz2n5yndb1iycw35a6j509b"; }; nativeBuildInputs = [ perl ]; @@ -28,7 +28,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "x86 emulation library"; license = licenses.bsd2; - homepage = https://github.com/wfeldt/libx86emu; + homepage = "https://github.com/wfeldt/libx86emu"; maintainers = with maintainers; [ bobvanderlinden ]; platforms = platforms.linux; }; diff --git a/pkgs/development/libraries/librdf/default.nix b/pkgs/development/libraries/lrdf/default.nix index 5b39940bb3f..264c18b09ee 100644 --- a/pkgs/development/libraries/librdf/default.nix +++ b/pkgs/development/libraries/lrdf/default.nix @@ -1,29 +1,31 @@ -{ config, stdenv, fetchurl, pkgconfig, autoreconfHook +{ config, stdenv, fetchFromGitHub, pkgconfig, autoreconfHook , librdf_raptor2, ladspaH, openssl, zlib , doCheck ? config.doCheckByDefault or false, ladspaPlugins }: stdenv.mkDerivation rec { - version = "0.5.0"; - pname = "liblrdf"; + pname = "lrdf"; + version = "0.6.1"; - src = fetchurl { - url = "https://github.com/swh/LRDF/archive/${version}.tar.gz"; - sha256 = "18p2flb2sv2hq6w2qkd29z9c7knnwqr3f12i2srshlzx6vwkm05s"; + src = fetchFromGitHub { + owner = "swh"; + repo = "LRDF"; + rev = "v${version}"; + sha256 = "00wzkfb8y0aqd519ypz067cq099dpc89w69zw8ln39vl6f9x2pd4"; }; postPatch = stdenv.lib.optionalString doCheck '' sed -i -e 's:usr/local:${ladspaPlugins}:' examples/{instances,remove}_test.c ''; - preAutoreconf = "rm m4/*"; nativeBuildInputs = [ autoreconfHook pkgconfig ]; - buildInputs = [ ladspaH openssl zlib ]; propagatedBuildInputs = [ librdf_raptor2 ]; inherit doCheck; + enableParallelBuilding = true; + meta = { description = "Lightweight RDF library with special support for LADSPA plugins"; homepage = https://sourceforge.net/projects/lrdf/; diff --git a/pkgs/development/libraries/nss_wrapper/default.nix b/pkgs/development/libraries/nss_wrapper/default.nix index dec4e61a7bc..e79ef1a0cde 100644 --- a/pkgs/development/libraries/nss_wrapper/default.nix +++ b/pkgs/development/libraries/nss_wrapper/default.nix @@ -1,11 +1,11 @@ { stdenv, fetchurl, cmake, pkgconfig }: stdenv.mkDerivation rec { - name = "nss_wrapper-1.1.8"; + name = "nss_wrapper-1.1.10"; src = fetchurl { url = "mirror://samba/cwrap/${name}.tar.gz"; - sha256 = "1cnzhnz68ywx1jwj6xaxv8hg8hpm63ssxmrlhsz3sfgjhfkmw95l"; + sha256 = "1fifl3allz4hwl331j6fwacc4z2fqwyxdnnkadv518ir8nza3xl8"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/pkgs/development/libraries/nvidia-optical-flow-sdk/default.nix b/pkgs/development/libraries/nvidia-optical-flow-sdk/default.nix new file mode 100644 index 00000000000..667f3d94e05 --- /dev/null +++ b/pkgs/development/libraries/nvidia-optical-flow-sdk/default.nix @@ -0,0 +1,28 @@ +{ stdenv, fetchFromGitHub }: + +stdenv.mkDerivation { + pname = "nvidia-optical-flow-sdk"; + version = "1.0"; + + src = fetchFromGitHub { + owner = "NVIDIA"; + repo = "NVIDIAOpticalFlowSDK"; + rev = "79c6cee80a2df9a196f20afd6b598a9810964c32"; + sha256 = "1y6igwv75v1ynqm7j6la3ky0f15mgnj1jyyak82yvhcsx1aax0a1"; + }; + + # # We only need the header files. The library files are + # # in the nvidia_x11 driver. + installPhase = '' + mkdir -p $out/include + cp -R * $out/include + ''; + + meta = with stdenv.lib; { + description = "Nvidia optical flow headers for computing the relative motion of pixels between images"; + homepage = "https://developer.nvidia.com/opticalflow-sdk"; + license = licenses.bsd3; # applies to the header files only + platforms = platforms.all; + }; +} + diff --git a/pkgs/development/libraries/opencl-clhpp/default.nix b/pkgs/development/libraries/opencl-clhpp/default.nix index 5ed2dd5e23d..cb8d9c788ca 100644 --- a/pkgs/development/libraries/opencl-clhpp/default.nix +++ b/pkgs/development/libraries/opencl-clhpp/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "opencl-clhpp"; - version = "2.0.10"; + version = "2.0.11"; src = fetchFromGitHub { owner = "KhronosGroup"; repo = "OpenCL-CLHPP"; rev = "v${version}"; - sha256 = "0h5kpg5cl8wzfnqmv6i26aig2apv06ffm9p3rh35938n9r8rladm"; + sha256 = "0a0n0f1lb86cwfm0ndzykcn965vz1v0n9n3rfmkiwrzkdhc9iy2y"; }; nativeBuildInputs = [ cmake python ]; @@ -21,7 +21,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "OpenCL Host API C++ bindings"; - homepage = http://github.khronos.org/OpenCL-CLHPP/; + homepage = "http://github.khronos.org/OpenCL-CLHPP/"; license = licenses.mit; platforms = platforms.unix; }; diff --git a/pkgs/development/libraries/opencv/4.x.nix b/pkgs/development/libraries/opencv/4.x.nix index 9fffd3d9477..519d4ecd215 100644 --- a/pkgs/development/libraries/opencv/4.x.nix +++ b/pkgs/development/libraries/opencv/4.x.nix @@ -15,7 +15,7 @@ , enableContrib ? true , enableCuda ? (config.cudaSupport or false) && - stdenv.hostPlatform.isx86_64, cudatoolkit + stdenv.hostPlatform.isx86_64, cudatoolkit, nvidia-optical-flow-sdk , enableUnfree ? false , enableIpp ? false @@ -166,6 +166,7 @@ stdenv.mkDerivation { # Also, work around https://github.com/NixOS/nixpkgs/issues/26304 with # what appears to be some stray headers in dnn/misc/tensorflow # in contrib when generating the Python bindings: + patches = lib.optional enableCuda ./cuda_opt_flow.patch; postPatch = '' sed -i '/Add these standard paths to the search paths for FIND_LIBRARY/,/^\s*$/{d}' CMakeLists.txt sed -i -e 's|if len(decls) == 0:|if len(decls) == 0 or "opencv2/" not in hdr:|' ./modules/python/src2/gen2.py @@ -213,7 +214,7 @@ stdenv.mkDerivation { # tesseract & leptonica. ++ lib.optionals enableTesseract [ tesseract leptonica ] ++ lib.optional enableTbb tbb - ++ lib.optional enableCuda cudatoolkit + ++ lib.optionals enableCuda [ cudatoolkit nvidia-optical-flow-sdk ] ++ lib.optionals stdenv.isDarwin [ bzip2 AVFoundation Cocoa VideoDecodeAcceleration ] ++ lib.optionals enableDocs [ doxygen graphviz-nox ]; @@ -249,6 +250,7 @@ stdenv.mkDerivation { "-DCUDA_FAST_MATH=ON" "-DCUDA_HOST_COMPILER=${cudatoolkit.cc}/bin/cc" "-DCUDA_NVCC_FLAGS=--expt-relaxed-constexpr" + "-DNVIDIA_OPTICAL_FLOW_1_0_HEADERS_PATH=${nvidia-optical-flow-sdk}" ] ++ lib.optionals stdenv.isDarwin [ "-DWITH_OPENCL=OFF" "-DWITH_LAPACK=OFF" diff --git a/pkgs/development/libraries/opencv/cuda_opt_flow.patch b/pkgs/development/libraries/opencv/cuda_opt_flow.patch new file mode 100644 index 00000000000..cb96721fb53 --- /dev/null +++ b/pkgs/development/libraries/opencv/cuda_opt_flow.patch @@ -0,0 +1,26 @@ +diff --git a/opencv_contrib/cudaoptflow/CMakeLists.txt b/opencv_contrib/cudaoptflow/CMakeLists.txt +index e5b823ab4a..a728060d0b 100644 +--- a/opencv_contrib/cudaoptflow/CMakeLists.txt ++++ b/opencv_contrib/cudaoptflow/CMakeLists.txt +@@ -11,18 +11,6 @@ ocv_define_module(cudaoptflow opencv_video opencv_optflow opencv_cudaarithm open + set(NVIDIA_OPTICAL_FLOW_1_0_HEADERS_COMMIT "79c6cee80a2df9a196f20afd6b598a9810964c32") + set(NVIDIA_OPTICAL_FLOW_1_0_HEADERS_MD5 "ca5acedee6cb45d0ec610a6732de5c15") + set(NVIDIA_OPTICAL_FLOW_1_0_HEADERS_PATH "${OpenCV_BINARY_DIR}/3rdparty/NVIDIAOpticalFlowSDK_1_0_Headers") +-ocv_download(FILENAME "${NVIDIA_OPTICAL_FLOW_1_0_HEADERS_COMMIT}.zip" +- HASH ${NVIDIA_OPTICAL_FLOW_1_0_HEADERS_MD5} +- URL +- "https://github.com/NVIDIA/NVIDIAOpticalFlowSDK/archive/" +- DESTINATION_DIR "${NVIDIA_OPTICAL_FLOW_1_0_HEADERS_PATH}" +- STATUS NVIDIA_OPTICAL_FLOW_1_0_HEADERS_DOWNLOAD_SUCCESS +- ID "NVIDIA_OPTICAL_FLOW" +- UNPACK RELATIVE_URL) + +-if(NOT NVIDIA_OPTICAL_FLOW_1_0_HEADERS_DOWNLOAD_SUCCESS) +- message(STATUS "Failed to download NVIDIA_Optical_Flow_1_0 Headers") +-else() +- add_definitions(-DHAVE_NVIDIA_OPTFLOW=1) +- ocv_include_directories(SYSTEM "${NVIDIA_OPTICAL_FLOW_1_0_HEADERS_PATH}/NVIDIAOpticalFlowSDK-${NVIDIA_OPTICAL_FLOW_1_0_HEADERS_COMMIT}") +-endif() +\ No newline at end of file ++add_definitions(-DHAVE_NVIDIA_OPTFLOW=1) ++ocv_include_directories(SYSTEM "${NVIDIA_OPTICAL_FLOW_1_0_HEADERS_PATH}") diff --git a/pkgs/development/libraries/physics/hepmc3/default.nix b/pkgs/development/libraries/physics/hepmc3/default.nix index d8aff555e55..a07c3c19a1b 100644 --- a/pkgs/development/libraries/physics/hepmc3/default.nix +++ b/pkgs/development/libraries/physics/hepmc3/default.nix @@ -1,28 +1,41 @@ -{ stdenv, fetchurl, cmake, coreutils, root }: +{ stdenv, fetchurl, cmake, coreutils, python, root }: + +let + pythonVersion = with stdenv.lib.versions; "${major python.version}${minor python.version}"; + withPython = python != null; +in stdenv.mkDerivation rec { pname = "hepmc3"; - version = "3.1.2"; + version = "3.2.0"; src = fetchurl { url = "http://hepmc.web.cern.ch/hepmc/releases/HepMC3-${version}.tar.gz"; - sha256 = "1izcldnjbyn6myr7nv7b4jivf2vmdi64ng9gk1vjh998755hfcs1"; + sha256 = "1z491x3blqs0a2jxmhzhmh4kqdw3ddcbvw69gidg4w6icdvkhcpi"; }; - buildInputs = [ cmake root ]; + nativeBuildInputs = [ cmake ]; + buildInputs = [ root ] + ++ stdenv.lib.optional withPython python; + + cmakeFlags = [ + "-DHEPMC3_ENABLE_PYTHON=${if withPython then "ON" else "OFF"}" + ] ++ stdenv.lib.optionals withPython [ + "-DHEPMC3_PYTHON_VERSIONS=${if python.isPy3k then "3.X" else "2.X"}" + "-DHEPMC3_Python_SITEARCH${pythonVersion}=${placeholder "out"}/${python.sitePackages}" + ]; postInstall = '' substituteInPlace "$out"/bin/HepMC3-config \ - --replace 'greadlink' '${coreutils}/bin/readlink' + --replace 'greadlink' '${coreutils}/bin/readlink' \ + --replace 'readlink' '${coreutils}/bin/readlink' ''; - enableParallelBuilding = true; - meta = with stdenv.lib; { description = "The HepMC package is an object oriented, C++ event record for High Energy Physics Monte Carlo generators and simulation"; - license = licenses.gpl3; - homepage = http://hepmc.web.cern.ch/hepmc/; - platforms = platforms.unix; + license = licenses.gpl3; + homepage = "http://hepmc.web.cern.ch/hepmc/"; + platforms = platforms.unix; maintainers = with maintainers; [ veprbl ]; }; } diff --git a/pkgs/development/libraries/proj/default.nix b/pkgs/development/libraries/proj/default.nix index ea89dbee0f6..41b6192a9ed 100644 --- a/pkgs/development/libraries/proj/default.nix +++ b/pkgs/development/libraries/proj/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "proj"; - version = "6.1.1"; + version = "6.3.1"; src = fetchFromGitHub { owner = "OSGeo"; repo = "PROJ"; rev = version; - sha256 = "0w2v2l22kv0xzq5hwl7n8ki6an8vfsr0lg0cdbkwcl4xv889ysma"; + sha256 = "1ildcp57qsa01kvv2qxd05nqw5mg0wfkksiv9l138dbhp0s7rkxp"; }; outputs = [ "out" "dev"]; diff --git a/pkgs/development/libraries/qtutilities/default.nix b/pkgs/development/libraries/qtutilities/default.nix index 3f0dc18f157..66a7b95e334 100644 --- a/pkgs/development/libraries/qtutilities/default.nix +++ b/pkgs/development/libraries/qtutilities/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "qtutilities"; - version = "6.0.4"; + version = "6.0.5"; src = fetchFromGitHub { owner = "Martchus"; repo = pname; rev = "v${version}"; - sha256 = "0cp7sbj20z0vl99qhs3hi5bd6akjd9l7lqdky0p6la4c9y9w5n1w"; + sha256 = "1f2nir1qb0d6r1ndpsg7vpskdw08szq82mqvbwm5bi160xkrqhjf"; }; buildInputs = [ qtbase cpp-utilities ]; diff --git a/pkgs/development/libraries/sord/default.nix b/pkgs/development/libraries/sord/default.nix index 2113d7bd49b..746054e5939 100644 --- a/pkgs/development/libraries/sord/default.nix +++ b/pkgs/development/libraries/sord/default.nix @@ -10,7 +10,8 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ pkgconfig python3 wafHook ]; - buildInputs = [ serd pcre ]; + buildInputs = [ pcre ]; + propagatedBuildInputs = [ serd ]; meta = with stdenv.lib; { homepage = http://drobilla.net/software/sord; diff --git a/pkgs/development/libraries/virglrenderer/default.nix b/pkgs/development/libraries/virglrenderer/default.nix index 5c508f133e1..8951ed4b002 100644 --- a/pkgs/development/libraries/virglrenderer/default.nix +++ b/pkgs/development/libraries/virglrenderer/default.nix @@ -4,11 +4,11 @@ stdenv.mkDerivation rec { pname = "virglrenderer"; - version = "0.8.1"; + version = "0.8.2"; src = fetchurl { url = "https://gitlab.freedesktop.org/virgl/virglrenderer/-/archive/virglrenderer-${version}/virglrenderer-virglrenderer-${version}.tar.bz2"; - sha256 = "0ac39mplmy6lmcd6cljmfsrfby7m6ac3dzvmnn5pv200drl415l4"; + sha256 = "07vfzg99wq92yg2phza9jc0zvps34yy9gc8v4hibqchdl77fmspx"; }; buildInputs = [ libGLU epoxy libX11 libdrm mesa ]; @@ -19,7 +19,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A virtual 3D GPU library that allows a qemu guest to use the host GPU for accelerated 3D rendering"; - homepage = https://virgil3d.github.io/; + homepage = "https://virgil3d.github.io/"; license = licenses.mit; platforms = platforms.linux; maintainers = [ maintainers.xeji ]; diff --git a/pkgs/development/libraries/vulkan-loader/default.nix b/pkgs/development/libraries/vulkan-loader/default.nix index c12c3ca640b..b1f2663e7f8 100644 --- a/pkgs/development/libraries/vulkan-loader/default.nix +++ b/pkgs/development/libraries/vulkan-loader/default.nix @@ -16,6 +16,10 @@ stdenv.mkDerivation rec { buildInputs = [ cmake python3 xlibsWrapper libxcb libXrandr libXext wayland ]; enableParallelBuilding = true; + preConfigure = '' + substituteInPlace loader/vulkan.pc.in --replace 'includedir=''${prefix}/include' 'includedir=${vulkan-headers}/include' + ''; + cmakeFlags = [ "-DSYSCONFDIR=${addOpenGLRunpath.driverLink}/share" "-DVULKAN_HEADERS_INSTALL_DIR=${vulkan-headers}" diff --git a/pkgs/development/libraries/zchunk/default.nix b/pkgs/development/libraries/zchunk/default.nix index ee07133b7aa..b7787650e7c 100644 --- a/pkgs/development/libraries/zchunk/default.nix +++ b/pkgs/development/libraries/zchunk/default.nix @@ -9,7 +9,7 @@ stdenv.mkDerivation rec { pname = "zchunk"; - version = "1.1.5"; + version = "1.1.6"; outputs = [ "out" "lib" "dev" ]; @@ -17,7 +17,7 @@ stdenv.mkDerivation rec { owner = "zchunk"; repo = pname; rev = version; - sha256 = "13sqjslk634mkklnmzdlzk9l9rc6g6migig5rln3irdnjrxvjf69"; + sha256 = "1j05f26xppwbkxrm11895blm75i1a6p9q23x7wlkqw198mpnpbbv"; }; nativeBuildInputs = [ diff --git a/pkgs/development/node-packages/composition-v10.nix b/pkgs/development/node-packages/composition-v10.nix index 534f42e37d7..ecb9e541323 100644 --- a/pkgs/development/node-packages/composition-v10.nix +++ b/pkgs/development/node-packages/composition-v10.nix @@ -14,4 +14,4 @@ in import ./node-packages-v10.nix { inherit (pkgs) fetchurl fetchgit; inherit nodeEnv; -} +} \ No newline at end of file diff --git a/pkgs/development/node-packages/composition-v12.nix b/pkgs/development/node-packages/composition-v12.nix index 3f4fbb7f7cb..a3a7bc25677 100644 --- a/pkgs/development/node-packages/composition-v12.nix +++ b/pkgs/development/node-packages/composition-v12.nix @@ -14,4 +14,4 @@ in import ./node-packages-v12.nix { inherit (pkgs) fetchurl fetchgit; inherit nodeEnv; -} +} \ No newline at end of file diff --git a/pkgs/development/node-packages/composition-v13.nix b/pkgs/development/node-packages/composition-v13.nix index 7100e8d7474..6eddeb468db 100644 --- a/pkgs/development/node-packages/composition-v13.nix +++ b/pkgs/development/node-packages/composition-v13.nix @@ -14,4 +14,4 @@ in import ./node-packages-v13.nix { inherit (pkgs) fetchurl fetchgit; inherit nodeEnv; -} +} \ No newline at end of file diff --git a/pkgs/development/node-packages/generate.sh b/pkgs/development/node-packages/generate.sh index 3b1626f9afb..66a51721ac8 100755 --- a/pkgs/development/node-packages/generate.sh +++ b/pkgs/development/node-packages/generate.sh @@ -1,15 +1,11 @@ #!/usr/bin/env nix-shell -#! nix-shell shell-generate.nix -i bash +#! nix-shell -p nodePackages.node2nix -i bash set -eu -o pipefail -cd "$NODE_NIXPKGS_PATH/pkgs/development/node-packages" +DIR="$( cd "$( dirname "${BASH_SOURCE[0]}" )" >/dev/null 2>&1 && pwd )" + +cd ${DIR} rm -f ./node-env.nix for version in 10 12 13; do - tmpdir=$(mktemp -d) - node2nix --nodejs-$version -i node-packages-v$version.json -o $tmpdir/node-packages-v$version.nix -c $tmpdir/composition-v$version.nix - if [ $? -eq 0 ]; then - mv $tmpdir/node-packages-v$version.nix . - mv $tmpdir/composition-v$version.nix . - fi + node2nix --nodejs-$version -i node-packages-v$version.json -o node-packages-v$version.nix -c composition-v$version.nix done -cd - diff --git a/pkgs/development/node-packages/node-packages-v10.nix b/pkgs/development/node-packages/node-packages-v10.nix index 9c812bf9a73..d70b133fd40 100644 --- a/pkgs/development/node-packages/node-packages-v10.nix +++ b/pkgs/development/node-packages/node-packages-v10.nix @@ -22,31 +22,31 @@ let sha512 = "t4WmWoGV9gyzypwG3y3JlcK2t8fKLtvzBA7xEoFTj9SMPvOuLsf13uh4ikK0RRaaa9RPPWLgFUdOyIRaQvCpwQ=="; }; }; - "@angular-devkit/architect-0.900.6" = { + "@angular-devkit/architect-0.900.7" = { name = "_at_angular-devkit_slash_architect"; packageName = "@angular-devkit/architect"; - version = "0.900.6"; + version = "0.900.7"; src = fetchurl { - url = "https://registry.npmjs.org/@angular-devkit/architect/-/architect-0.900.6.tgz"; - sha512 = "WK8e09DgNP1NHP1gqVQ9w+9rlRMVDJxAh4qZGJRjZBXd3LY7y84WWRmTpfuhOSu+82fR3/n76+urxraU3ZVphw=="; + url = "https://registry.npmjs.org/@angular-devkit/architect/-/architect-0.900.7.tgz"; + sha512 = "hfiTVYc72kzbXrzK4tea6jnTDnSKpE1D+vEptBXN2tdXEVNEAQI5Qm5L1zVDtt16UdqoUTUypIgUc9jcNH1mUQ=="; }; }; - "@angular-devkit/core-9.0.6" = { + "@angular-devkit/core-9.0.7" = { name = "_at_angular-devkit_slash_core"; packageName = "@angular-devkit/core"; - version = "9.0.6"; + version = "9.0.7"; src = fetchurl { - url = "https://registry.npmjs.org/@angular-devkit/core/-/core-9.0.6.tgz"; - sha512 = "hCZJbnqLEm1F5Bx+ILcdd3LPgQTn4WFWpfUqMEGGj7UirRInWcz+6UpYotKGTJw85/mV01LrIbtWIkAUXbkkhg=="; + url = "https://registry.npmjs.org/@angular-devkit/core/-/core-9.0.7.tgz"; + sha512 = "tMrz36sM1xrwvFf9Qm59GwALscVlMP7rQBjtd0fIR/QbsiOAIX4AQbV+vN6Vtwnzo5NIRZY1IXJUhesWms+h5w=="; }; }; - "@angular-devkit/schematics-9.0.6" = { + "@angular-devkit/schematics-9.0.7" = { name = "_at_angular-devkit_slash_schematics"; packageName = "@angular-devkit/schematics"; - version = "9.0.6"; + version = "9.0.7"; src = fetchurl { - url = "https://registry.npmjs.org/@angular-devkit/schematics/-/schematics-9.0.6.tgz"; - sha512 = "X7qZDJVrFcPUn+jNUeOH7Bx1D7YTpTFr0d3DBIsQzseReSGu7ugWziQPS4gc5Xm5K0nb8vx6DYtyW0FaIvX0ZA=="; + url = "https://registry.npmjs.org/@angular-devkit/schematics/-/schematics-9.0.7.tgz"; + sha512 = "ryPC+l24f3gX5DFMTLkDM/q2Kp6LPzBn6400k7j4qVdb1cIrZx+JUQd7F4iAksTTkX15EQPanptQXeztUrl9Ng=="; }; }; "@antora/asciidoc-loader-2.2.0" = { @@ -256,22 +256,22 @@ let sha512 = "a9gxpmdXtZEInkCSHUJDLHZVBgb1QS0jhss4cPP93EW7s+uC5bikET2twEF3KV+7rDblJcmNvTR7VJejqd2C2g=="; }; }; - "@babel/compat-data-7.8.6" = { + "@babel/compat-data-7.9.0" = { name = "_at_babel_slash_compat-data"; packageName = "@babel/compat-data"; - version = "7.8.6"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/compat-data/-/compat-data-7.8.6.tgz"; - sha512 = "CurCIKPTkS25Mb8mz267vU95vy+TyUpnctEX2lV33xWNmHAfjruztgiPBbXZRh3xZZy1CYvGx6XfxyTVS+sk7Q=="; + url = "https://registry.npmjs.org/@babel/compat-data/-/compat-data-7.9.0.tgz"; + sha512 = "zeFQrr+284Ekvd9e7KAX954LkapWiOmQtsfHirhxqfdlX6MEC32iRE+pqUGlYIBchdevaCwvzxWGSy/YBNI85g=="; }; }; - "@babel/core-7.8.7" = { + "@babel/core-7.9.0" = { name = "_at_babel_slash_core"; packageName = "@babel/core"; - version = "7.8.7"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/core/-/core-7.8.7.tgz"; - sha512 = "rBlqF3Yko9cynC5CCFy6+K/w2N+Sq/ff2BPy+Krp7rHlABIr5epbA7OxVeKoMHB39LZOp1UY5SuLjy6uWi35yA=="; + url = "https://registry.npmjs.org/@babel/core/-/core-7.9.0.tgz"; + sha512 = "kWc7L0fw1xwvI0zi8OKVBuxRVefwGOrKSQMvrQ3dW+bIIavBY3/NpXmpjMy7bQnLgwgzWQZ8TlM57YHpHNHz4w=="; }; }; "@babel/generator-7.0.0-beta.38" = { @@ -292,13 +292,13 @@ let sha512 = "4bpOR5ZBz+wWcMeVtcf7FbjcFzCp+817z2/gHNncIRcM9MmKzUhtWCYAq27RAfUrAFwb+OCG1s9WEaVxfi6cjg=="; }; }; - "@babel/generator-7.8.8" = { + "@babel/generator-7.9.4" = { name = "_at_babel_slash_generator"; packageName = "@babel/generator"; - version = "7.8.8"; + version = "7.9.4"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/generator/-/generator-7.8.8.tgz"; - sha512 = "HKyUVu69cZoclptr8t8U5b6sx6zoWjh8jiUhnuj3MpZuKT2dJ8zPTuiy31luq32swhI0SpwItCIlU8XW7BZeJg=="; + url = "https://registry.npmjs.org/@babel/generator/-/generator-7.9.4.tgz"; + sha512 = "rjP8ahaDy/ouhrvCoU1E5mqaitWrxwuNGU+dy1EpaoK48jZay4MdkskKGIMHLZNewg8sAsqpGSREJwP0zH3YQA=="; }; }; "@babel/helper-annotate-as-pure-7.8.3" = { @@ -319,22 +319,22 @@ let sha512 = "5eFOm2SyFPK4Rh3XMMRDjN7lBH0orh3ss0g3rTYZnBQ+r6YPj7lgDyCvPphynHvUrobJmeMignBr6Acw9mAPlw=="; }; }; - "@babel/helper-builder-react-jsx-7.8.3" = { + "@babel/helper-builder-react-jsx-7.9.0" = { name = "_at_babel_slash_helper-builder-react-jsx"; packageName = "@babel/helper-builder-react-jsx"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/helper-builder-react-jsx/-/helper-builder-react-jsx-7.8.3.tgz"; - sha512 = "JT8mfnpTkKNCboTqZsQTdGo3l3Ik3l7QIt9hh0O9DYiwVel37VoJpILKM4YFbP2euF32nkQSb+F9cUk9b7DDXQ=="; + url = "https://registry.npmjs.org/@babel/helper-builder-react-jsx/-/helper-builder-react-jsx-7.9.0.tgz"; + sha512 = "weiIo4gaoGgnhff54GQ3P5wsUQmnSwpkvU0r6ZHq6TzoSzKy4JxHEgnxNytaKbov2a9z/CVNyzliuCOUPEX3Jw=="; }; }; - "@babel/helper-call-delegate-7.8.7" = { - name = "_at_babel_slash_helper-call-delegate"; - packageName = "@babel/helper-call-delegate"; - version = "7.8.7"; + "@babel/helper-builder-react-jsx-experimental-7.9.0" = { + name = "_at_babel_slash_helper-builder-react-jsx-experimental"; + packageName = "@babel/helper-builder-react-jsx-experimental"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/helper-call-delegate/-/helper-call-delegate-7.8.7.tgz"; - sha512 = "doAA5LAKhsFCR0LAFIf+r2RSMmC+m8f/oQ+URnUET/rWeEzC0yTRmAGyWkD4sSu3xwbS7MYQ2u+xlt1V5R56KQ=="; + url = "https://registry.npmjs.org/@babel/helper-builder-react-jsx-experimental/-/helper-builder-react-jsx-experimental-7.9.0.tgz"; + sha512 = "3xJEiyuYU4Q/Ar9BsHisgdxZsRlsShMe90URZ0e6przL26CCs8NJbDoxH94kKT17PcxlMhsCAwZd90evCo26VQ=="; }; }; "@babel/helper-compilation-targets-7.8.7" = { @@ -427,13 +427,13 @@ let sha512 = "R0Bx3jippsbAEtzkpZ/6FIiuzOURPcMjHp+Z6xPe6DtApDJx+w7UYyOLanZqO8+wKR9G10s/FmHXvxaMd9s6Kg=="; }; }; - "@babel/helper-module-transforms-7.8.6" = { + "@babel/helper-module-transforms-7.9.0" = { name = "_at_babel_slash_helper-module-transforms"; packageName = "@babel/helper-module-transforms"; - version = "7.8.6"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/helper-module-transforms/-/helper-module-transforms-7.8.6.tgz"; - sha512 = "RDnGJSR5EFBJjG3deY0NiL0K9TO8SXxS9n/MPsbPK/s9LbQymuLNtlzvDiNS7IpecuL45cMeLVkA+HfmlrnkRg=="; + url = "https://registry.npmjs.org/@babel/helper-module-transforms/-/helper-module-transforms-7.9.0.tgz"; + sha512 = "0FvKyu0gpPfIQ8EkxlrAydOWROdHpBmiCiRwLkUiBGhCUPRRbVD2/tm3sFr/c/GWFrQ/ffutGUAnx7V0FzT2wA=="; }; }; "@babel/helper-optimise-call-expression-7.8.3" = { @@ -499,6 +499,15 @@ let sha512 = "3x3yOeyBhW851hroze7ElzdkeRXQYQbFIb7gLK1WQYsw2GWDay5gAJNw1sWJ0VFP6z5J1whqeXH/WCdCjZv6dA=="; }; }; + "@babel/helper-validator-identifier-7.9.0" = { + name = "_at_babel_slash_helper-validator-identifier"; + packageName = "@babel/helper-validator-identifier"; + version = "7.9.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/helper-validator-identifier/-/helper-validator-identifier-7.9.0.tgz"; + sha512 = "6G8bQKjOh+of4PV/ThDm/rRqlU7+IGoJuofpagU5GlEl29Vv0RGqqt86ZGRV8ZuSOY3o+8yXl5y782SMcG7SHw=="; + }; + }; "@babel/helper-wrap-function-7.8.3" = { name = "_at_babel_slash_helper-wrap-function"; packageName = "@babel/helper-wrap-function"; @@ -508,31 +517,31 @@ let sha512 = "LACJrbUET9cQDzb6kG7EeD7+7doC3JNvUgTEQOx2qaO1fKlzE/Bf05qs9w1oXQMmXlPO65lC3Tq9S6gZpTErEQ=="; }; }; - "@babel/helpers-7.8.4" = { + "@babel/helpers-7.9.2" = { name = "_at_babel_slash_helpers"; packageName = "@babel/helpers"; - version = "7.8.4"; + version = "7.9.2"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/helpers/-/helpers-7.8.4.tgz"; - sha512 = "VPbe7wcQ4chu4TDQjimHv/5tj73qz88o12EPkO2ValS2QiQS/1F2SsjyIGNnAD0vF/nZS6Cf9i+vW6HIlnaR8w=="; + url = "https://registry.npmjs.org/@babel/helpers/-/helpers-7.9.2.tgz"; + sha512 = "JwLvzlXVPjO8eU9c/wF9/zOIN7X6h8DYf7mG4CiFRZRvZNKEF5dQ3H3V+ASkHoIB3mWhatgl5ONhyqHRI6MppA=="; }; }; - "@babel/highlight-7.8.3" = { + "@babel/highlight-7.9.0" = { name = "_at_babel_slash_highlight"; packageName = "@babel/highlight"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/highlight/-/highlight-7.8.3.tgz"; - sha512 = "PX4y5xQUvy0fnEVHrYOarRPXVWafSjTW9T0Hab8gVIawpl2Sj0ORyrygANq+KjcNlSSTw0YCLSNA8OyZ1I4yEg=="; + url = "https://registry.npmjs.org/@babel/highlight/-/highlight-7.9.0.tgz"; + sha512 = "lJZPilxX7Op3Nv/2cvFdnlepPXDxi29wxteT57Q965oc5R9v86ztx0jfxVrTcBk8C2kcPkkDa2Z4T3ZsPPVWsQ=="; }; }; - "@babel/parser-7.8.8" = { + "@babel/parser-7.9.4" = { name = "_at_babel_slash_parser"; packageName = "@babel/parser"; - version = "7.8.8"; + version = "7.9.4"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/parser/-/parser-7.8.8.tgz"; - sha512 = "mO5GWzBPsPf6865iIbzNE0AvkKF3NE+2S3eRUpE+FE07BOAkXh6G+GW/Pj01hhXjve1WScbaIO4UlY1JKeqCcA=="; + url = "https://registry.npmjs.org/@babel/parser/-/parser-7.9.4.tgz"; + sha512 = "bC49otXX6N0/VYhgOMh4gnP26E9xnDZK3TmbNpxYzzz9BQLBosQwfyOe9/cXUU3txYhTzLCbcqd5c8y/OmCjHA=="; }; }; "@babel/plugin-external-helpers-7.8.3" = { @@ -589,13 +598,22 @@ let sha512 = "TS9MlfzXpXKt6YYomudb/KU7nQI6/xnapG6in1uZxoxDghuSMZsPb6D2fyUwNYSAp4l1iR7QtFOjkqcRYcUsfw=="; }; }; - "@babel/plugin-proposal-object-rest-spread-7.8.3" = { + "@babel/plugin-proposal-numeric-separator-7.8.3" = { + name = "_at_babel_slash_plugin-proposal-numeric-separator"; + packageName = "@babel/plugin-proposal-numeric-separator"; + version = "7.8.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/plugin-proposal-numeric-separator/-/plugin-proposal-numeric-separator-7.8.3.tgz"; + sha512 = "jWioO1s6R/R+wEHizfaScNsAx+xKgwTLNXSh7tTC4Usj3ItsPEhYkEpU4h+lpnBwq7NBVOJXfO6cRFYcX69JUQ=="; + }; + }; + "@babel/plugin-proposal-object-rest-spread-7.9.0" = { name = "_at_babel_slash_plugin-proposal-object-rest-spread"; packageName = "@babel/plugin-proposal-object-rest-spread"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-proposal-object-rest-spread/-/plugin-proposal-object-rest-spread-7.8.3.tgz"; - sha512 = "8qvuPwU/xxUCt78HocNlv0mXXo0wdh9VT1R04WU8HGOfaOob26pF+9P5/lYjN/q7DHOX1bvX60hnhOvuQUJdbA=="; + url = "https://registry.npmjs.org/@babel/plugin-proposal-object-rest-spread/-/plugin-proposal-object-rest-spread-7.9.0.tgz"; + sha512 = "UgqBv6bjq4fDb8uku9f+wcm1J7YxJ5nT7WO/jBr0cl0PLKb7t1O6RNR1kZbjgx2LQtsDI9hwoQVmn0yhXeQyow=="; }; }; "@babel/plugin-proposal-optional-catch-binding-7.8.3" = { @@ -607,13 +625,13 @@ let sha512 = "0gkX7J7E+AtAw9fcwlVQj8peP61qhdg/89D5swOkjYbkboA2CVckn3kiyum1DE0wskGb7KJJxBdyEBApDLLVdw=="; }; }; - "@babel/plugin-proposal-optional-chaining-7.8.3" = { + "@babel/plugin-proposal-optional-chaining-7.9.0" = { name = "_at_babel_slash_plugin-proposal-optional-chaining"; packageName = "@babel/plugin-proposal-optional-chaining"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-proposal-optional-chaining/-/plugin-proposal-optional-chaining-7.8.3.tgz"; - sha512 = "QIoIR9abkVn+seDE3OjA08jWcs3eZ9+wJCKSRgo3WdEU2csFYgdScb+8qHB3+WXsGJD55u+5hWCISI7ejXS+kg=="; + url = "https://registry.npmjs.org/@babel/plugin-proposal-optional-chaining/-/plugin-proposal-optional-chaining-7.9.0.tgz"; + sha512 = "NDn5tu3tcv4W30jNhmc2hyD5c56G6cXx4TesJubhxrJeCvuuMpttxr0OnNCqbZGhFjLrg+NIhxxC+BK5F6yS3w=="; }; }; "@babel/plugin-proposal-unicode-property-regex-7.8.8" = { @@ -688,6 +706,15 @@ let sha512 = "aSff4zPII1u2QD7y+F8oDsz19ew4IGEJg9SVW+bqwpwtfFleiQDMdzA/R+UlWDzfnHFCxxleFT0PMIrR36XLNQ=="; }; }; + "@babel/plugin-syntax-numeric-separator-7.8.3" = { + name = "_at_babel_slash_plugin-syntax-numeric-separator"; + packageName = "@babel/plugin-syntax-numeric-separator"; + version = "7.8.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/plugin-syntax-numeric-separator/-/plugin-syntax-numeric-separator-7.8.3.tgz"; + sha512 = "H7dCMAdN83PcCmqmkHB5dtp+Xa9a6LKSvA2hiFBC/5alSHxM5VgWZXFqDi0YFe8XNGT6iCa+z4V4zSt/PdZ7Dw=="; + }; + }; "@babel/plugin-syntax-object-rest-spread-7.8.3" = { name = "_at_babel_slash_plugin-syntax-object-rest-spread"; packageName = "@babel/plugin-syntax-object-rest-spread"; @@ -769,13 +796,13 @@ let sha512 = "pGnYfm7RNRgYRi7bids5bHluENHqJhrV4bCZRwc5GamaWIIs07N4rZECcmJL6ZClwjDz1GbdMZFtPs27hTB06w=="; }; }; - "@babel/plugin-transform-classes-7.8.6" = { + "@babel/plugin-transform-classes-7.9.2" = { name = "_at_babel_slash_plugin-transform-classes"; packageName = "@babel/plugin-transform-classes"; - version = "7.8.6"; + version = "7.9.2"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-classes/-/plugin-transform-classes-7.8.6.tgz"; - sha512 = "k9r8qRay/R6v5aWZkrEclEhKO6mc1CCQr2dLsVHBmOQiMpN6I2bpjX3vgnldUWeEI1GHVNByULVxZ4BdP4Hmdg=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-classes/-/plugin-transform-classes-7.9.2.tgz"; + sha512 = "TC2p3bPzsfvSsqBZo0kJnuelnoK9O3welkUpqSqBQuBF6R5MN2rysopri8kNvtlGIb2jmUO7i15IooAZJjZuMQ=="; }; }; "@babel/plugin-transform-computed-properties-7.8.3" = { @@ -823,22 +850,22 @@ let sha512 = "zwIpuIymb3ACcInbksHaNcR12S++0MDLKkiqXHl3AzpgdKlFNhog+z/K0+TGW+b0w5pgTq4H6IwV/WhxbGYSjQ=="; }; }; - "@babel/plugin-transform-flow-strip-types-7.8.3" = { + "@babel/plugin-transform-flow-strip-types-7.9.0" = { name = "_at_babel_slash_plugin-transform-flow-strip-types"; packageName = "@babel/plugin-transform-flow-strip-types"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-flow-strip-types/-/plugin-transform-flow-strip-types-7.8.3.tgz"; - sha512 = "g/6WTWG/xbdd2exBBzMfygjX/zw4eyNC4X8pRaq7aRHRoDUCzAIu3kGYIXviOv8BjCuWm8vDBwjHcjiRNgXrPA=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-flow-strip-types/-/plugin-transform-flow-strip-types-7.9.0.tgz"; + sha512 = "7Qfg0lKQhEHs93FChxVLAvhBshOPQDtJUTVHr/ZwQNRccCm4O9D79r9tVSoV8iNwjP1YgfD+e/fgHcPkN1qEQg=="; }; }; - "@babel/plugin-transform-for-of-7.8.6" = { + "@babel/plugin-transform-for-of-7.9.0" = { name = "_at_babel_slash_plugin-transform-for-of"; packageName = "@babel/plugin-transform-for-of"; - version = "7.8.6"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-for-of/-/plugin-transform-for-of-7.8.6.tgz"; - sha512 = "M0pw4/1/KI5WAxPsdcUL/w2LJ7o89YHN3yLkzNjg7Yl15GlVGgzHyCU+FMeAxevHGsLVmUqbirlUIKTafPmzdw=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-for-of/-/plugin-transform-for-of-7.9.0.tgz"; + sha512 = "lTAnWOpMwOXpyDx06N+ywmF3jNbafZEqZ96CGYabxHrxNX8l5ny7dt4bK/rGwAh9utyP2b2Hv7PlZh1AAS54FQ=="; }; }; "@babel/plugin-transform-function-name-7.8.3" = { @@ -868,40 +895,40 @@ let sha512 = "3Wk2EXhnw+rP+IDkK6BdtPKsUE5IeZ6QOGrPYvw52NwBStw9V1ZVzxgK6fSKSxqUvH9eQPR3tm3cOq79HlsKYA=="; }; }; - "@babel/plugin-transform-modules-amd-7.8.3" = { + "@babel/plugin-transform-modules-amd-7.9.0" = { name = "_at_babel_slash_plugin-transform-modules-amd"; packageName = "@babel/plugin-transform-modules-amd"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-modules-amd/-/plugin-transform-modules-amd-7.8.3.tgz"; - sha512 = "MadJiU3rLKclzT5kBH4yxdry96odTUwuqrZM+GllFI/VhxfPz+k9MshJM+MwhfkCdxxclSbSBbUGciBngR+kEQ=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-modules-amd/-/plugin-transform-modules-amd-7.9.0.tgz"; + sha512 = "vZgDDF003B14O8zJy0XXLnPH4sg+9X5hFBBGN1V+B2rgrB+J2xIypSN6Rk9imB2hSTHQi5OHLrFWsZab1GMk+Q=="; }; }; - "@babel/plugin-transform-modules-commonjs-7.8.3" = { + "@babel/plugin-transform-modules-commonjs-7.9.0" = { name = "_at_babel_slash_plugin-transform-modules-commonjs"; packageName = "@babel/plugin-transform-modules-commonjs"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-modules-commonjs/-/plugin-transform-modules-commonjs-7.8.3.tgz"; - sha512 = "JpdMEfA15HZ/1gNuB9XEDlZM1h/gF/YOH7zaZzQu2xCFRfwc01NXBMHHSTT6hRjlXJJs5x/bfODM3LiCk94Sxg=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-modules-commonjs/-/plugin-transform-modules-commonjs-7.9.0.tgz"; + sha512 = "qzlCrLnKqio4SlgJ6FMMLBe4bySNis8DFn1VkGmOcxG9gqEyPIOzeQrA//u0HAKrWpJlpZbZMPB1n/OPa4+n8g=="; }; }; - "@babel/plugin-transform-modules-systemjs-7.8.3" = { + "@babel/plugin-transform-modules-systemjs-7.9.0" = { name = "_at_babel_slash_plugin-transform-modules-systemjs"; packageName = "@babel/plugin-transform-modules-systemjs"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-modules-systemjs/-/plugin-transform-modules-systemjs-7.8.3.tgz"; - sha512 = "8cESMCJjmArMYqa9AO5YuMEkE4ds28tMpZcGZB/jl3n0ZzlsxOAi3mC+SKypTfT8gjMupCnd3YiXCkMjj2jfOg=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-modules-systemjs/-/plugin-transform-modules-systemjs-7.9.0.tgz"; + sha512 = "FsiAv/nao/ud2ZWy4wFacoLOm5uxl0ExSQ7ErvP7jpoihLR6Cq90ilOFyX9UXct3rbtKsAiZ9kFt5XGfPe/5SQ=="; }; }; - "@babel/plugin-transform-modules-umd-7.8.3" = { + "@babel/plugin-transform-modules-umd-7.9.0" = { name = "_at_babel_slash_plugin-transform-modules-umd"; packageName = "@babel/plugin-transform-modules-umd"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-modules-umd/-/plugin-transform-modules-umd-7.8.3.tgz"; - sha512 = "evhTyWhbwbI3/U6dZAnx/ePoV7H6OUG+OjiJFHmhr9FPn0VShjwC2kdxqIuQ/+1P50TMrneGzMeyMTFOjKSnAw=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-modules-umd/-/plugin-transform-modules-umd-7.9.0.tgz"; + sha512 = "uTWkXkIVtg/JGRSIABdBoMsoIeoHQHPTL0Y2E7xf5Oj7sLqwVsNXOkNk0VJc7vF0IMBsPeikHxFjGe+qmwPtTQ=="; }; }; "@babel/plugin-transform-named-capturing-groups-regex-7.8.3" = { @@ -931,13 +958,13 @@ let sha512 = "57FXk+gItG/GejofIyLIgBKTas4+pEU47IXKDBWFTxdPd7F80H8zybyAY7UoblVfBhBGs2EKM+bJUu2+iUYPDQ=="; }; }; - "@babel/plugin-transform-parameters-7.8.8" = { + "@babel/plugin-transform-parameters-7.9.3" = { name = "_at_babel_slash_plugin-transform-parameters"; packageName = "@babel/plugin-transform-parameters"; - version = "7.8.8"; + version = "7.9.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-parameters/-/plugin-transform-parameters-7.8.8.tgz"; - sha512 = "hC4Ld/Ulpf1psQciWWwdnUspQoQco2bMzSrwU6TmzRlvoYQe4rQFy9vnCZDTlVeCQj0JPfL+1RX0V8hCJvkgBA=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-parameters/-/plugin-transform-parameters-7.9.3.tgz"; + sha512 = "fzrQFQhp7mIhOzmOtPiKffvCYQSK10NR8t6BBz2yPbeUHb9OLW8RZGtgDRBn8z2hGcwvKDL3vC7ojPTLNxmqEg=="; }; }; "@babel/plugin-transform-property-literals-7.8.3" = { @@ -949,13 +976,13 @@ let sha512 = "uGiiXAZMqEoQhRWMK17VospMZh5sXWg+dlh2soffpkAl96KAm+WZuJfa6lcELotSRmooLqg0MWdH6UUq85nmmg=="; }; }; - "@babel/plugin-transform-react-jsx-7.8.3" = { + "@babel/plugin-transform-react-jsx-7.9.4" = { name = "_at_babel_slash_plugin-transform-react-jsx"; packageName = "@babel/plugin-transform-react-jsx"; - version = "7.8.3"; + version = "7.9.4"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-react-jsx/-/plugin-transform-react-jsx-7.8.3.tgz"; - sha512 = "r0h+mUiyL595ikykci+fbwm9YzmuOrUBi0b+FDIKmi3fPQyFokWVEMJnRWHJPPQEjyFJyna9WZC6Viv6UHSv1g=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-react-jsx/-/plugin-transform-react-jsx-7.9.4.tgz"; + sha512 = "Mjqf3pZBNLt854CK0C/kRuXAnE6H/bo7xYojP+WGtX8glDGSibcwnsWwhwoSuRg0+EBnxPC1ouVnuetUIlPSAw=="; }; }; "@babel/plugin-transform-regenerator-7.8.7" = { @@ -976,13 +1003,13 @@ let sha512 = "mwMxcycN3omKFDjDQUl+8zyMsBfjRFr0Zn/64I41pmjv4NJuqcYlEtezwYtw9TFd9WR1vN5kiM+O0gMZzO6L0A=="; }; }; - "@babel/plugin-transform-runtime-7.8.3" = { + "@babel/plugin-transform-runtime-7.9.0" = { name = "_at_babel_slash_plugin-transform-runtime"; packageName = "@babel/plugin-transform-runtime"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-runtime/-/plugin-transform-runtime-7.8.3.tgz"; - sha512 = "/vqUt5Yh+cgPZXXjmaG9NT8aVfThKk7G4OqkVhrXqwsC5soMn/qTCxs36rZ2QFhpfTJcjw4SNDIZ4RUb8OL4jQ=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-runtime/-/plugin-transform-runtime-7.9.0.tgz"; + sha512 = "pUu9VSf3kI1OqbWINQ7MaugnitRss1z533436waNXp+0N3ur3zfut37sXiQMxkuCF4VUjwZucen/quskCh7NHw=="; }; }; "@babel/plugin-transform-shorthand-properties-7.8.3" = { @@ -1030,13 +1057,13 @@ let sha512 = "2QKyfjGdvuNfHsb7qnBBlKclbD4CfshH2KvDabiijLMGXPHJXGxtDzwIF7bQP+T0ysw8fYTtxPafgfs/c1Lrqg=="; }; }; - "@babel/plugin-transform-typescript-7.8.7" = { + "@babel/plugin-transform-typescript-7.9.4" = { name = "_at_babel_slash_plugin-transform-typescript"; packageName = "@babel/plugin-transform-typescript"; - version = "7.8.7"; + version = "7.9.4"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-typescript/-/plugin-transform-typescript-7.8.7.tgz"; - sha512 = "7O0UsPQVNKqpHeHLpfvOG4uXmlw+MOxYvUv6Otc9uH5SYMIxvF6eBdjkWvC3f9G+VXe0RsNExyAQBeTRug/wqQ=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-typescript/-/plugin-transform-typescript-7.9.4.tgz"; + sha512 = "yeWeUkKx2auDbSxRe8MusAG+n4m9BFY/v+lPjmQDgOFX5qnySkUY5oXzkp6FwPdsYqnKay6lorXYdC0n3bZO7w=="; }; }; "@babel/plugin-transform-unicode-regex-7.8.3" = { @@ -1057,22 +1084,31 @@ let sha512 = "/TS23MVvo34dFmf8mwCisCbWGrfhbiWZSwBo6HkADTBhUa2Q/jWltyY/tpofz/b6/RIhqaqQcquptCirqIhOaQ=="; }; }; - "@babel/preset-env-7.8.7" = { + "@babel/preset-env-7.9.0" = { name = "_at_babel_slash_preset-env"; packageName = "@babel/preset-env"; - version = "7.8.7"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/preset-env/-/preset-env-7.8.7.tgz"; - sha512 = "BYftCVOdAYJk5ASsznKAUl53EMhfBbr8CJ1X+AJLfGPscQkwJFiaV/Wn9DPH/7fzm2v6iRYJKYHSqyynTGw0nw=="; + url = "https://registry.npmjs.org/@babel/preset-env/-/preset-env-7.9.0.tgz"; + sha512 = "712DeRXT6dyKAM/FMbQTV/FvRCms2hPCx+3weRjZ8iQVQWZejWWk1wwG6ViWMyqb/ouBbGOl5b6aCk0+j1NmsQ=="; }; }; - "@babel/preset-flow-7.8.3" = { + "@babel/preset-flow-7.9.0" = { name = "_at_babel_slash_preset-flow"; packageName = "@babel/preset-flow"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/preset-flow/-/preset-flow-7.8.3.tgz"; - sha512 = "iCXFk+T4demnq+dNLLvlGOgvYF6sPZ/hS1EmswugOqh1Ysp2vuiqJzpgsnp5rW8+6dLJT/0CXDzye28ZH6BAfQ=="; + url = "https://registry.npmjs.org/@babel/preset-flow/-/preset-flow-7.9.0.tgz"; + sha512 = "88uSmlshIrlmPkNkEcx3UpSZ6b8n0UGBq0/0ZMZCF/uxAW0XIAUuDHBhIOAh0pvweafH4RxOwi/H3rWhtqOYPA=="; + }; + }; + "@babel/preset-modules-0.1.3" = { + name = "_at_babel_slash_preset-modules"; + packageName = "@babel/preset-modules"; + version = "0.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/preset-modules/-/preset-modules-0.1.3.tgz"; + sha512 = "Ra3JXOHBq2xd56xSF7lMKXdjBn3T772Y1Wet3yWnkDly9zHvJki029tAFzvAAK5cf4YV3yoxuP61crYRol6SVg=="; }; }; "@babel/preset-stage-2-7.8.3" = { @@ -1084,22 +1120,22 @@ let sha512 = "dStnEQgejNYIHFNACdDCigK4BF7wgW6Zahv9Dc2un7rGjbeVtZhBfR3sy0I7ZJOhBexkFxVdMZ5hqmll7BFShw=="; }; }; - "@babel/preset-typescript-7.8.3" = { + "@babel/preset-typescript-7.9.0" = { name = "_at_babel_slash_preset-typescript"; packageName = "@babel/preset-typescript"; - version = "7.8.3"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/preset-typescript/-/preset-typescript-7.8.3.tgz"; - sha512 = "qee5LgPGui9zQ0jR1TeU5/fP9L+ovoArklEqY12ek8P/wV5ZeM/VYSQYwICeoT6FfpJTekG9Ilay5PhwsOpMHA=="; + url = "https://registry.npmjs.org/@babel/preset-typescript/-/preset-typescript-7.9.0.tgz"; + sha512 = "S4cueFnGrIbvYJgwsVFKdvOmpiL0XGw9MFW9D0vgRys5g36PBhZRL8NX8Gr2akz8XRtzq6HuDXPD/1nniagNUg=="; }; }; - "@babel/register-7.8.6" = { + "@babel/register-7.9.0" = { name = "_at_babel_slash_register"; packageName = "@babel/register"; - version = "7.8.6"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/register/-/register-7.8.6.tgz"; - sha512 = "7IDO93fuRsbyml7bAafBQb3RcBGlCpU4hh5wADA2LJEEcYk92WkwFZ0pHyIi2fb5Auoz1714abETdZKCOxN0CQ=="; + url = "https://registry.npmjs.org/@babel/register/-/register-7.9.0.tgz"; + sha512 = "Tv8Zyi2J2VRR8g7pC5gTeIN8Ihultbmk0ocyNz8H2nEZbmhp1N6q0A1UGsQbDvGP/sNinQKUHf3SqXwqjtFv4Q=="; }; }; "@babel/runtime-7.7.7" = { @@ -1111,22 +1147,22 @@ let sha512 = "uCnC2JEVAu8AKB5do1WRIsvrdJ0flYx/A/9f/6chdacnEZ7LmavjdsDXr5ksYBegxtuTPR5Va9/+13QF/kFkCA=="; }; }; - "@babel/runtime-7.8.7" = { + "@babel/runtime-7.9.2" = { name = "_at_babel_slash_runtime"; packageName = "@babel/runtime"; - version = "7.8.7"; + version = "7.9.2"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/runtime/-/runtime-7.8.7.tgz"; - sha512 = "+AATMUFppJDw6aiR5NVPHqIQBlV/Pj8wY/EZH+lmvRdUo9xBaz/rF3alAwFJQavvKfeOlPE7oaaDHVbcySbCsg=="; + url = "https://registry.npmjs.org/@babel/runtime/-/runtime-7.9.2.tgz"; + sha512 = "NE2DtOdufG7R5vnfQUTehdTfNycfUANEtCa9PssN9O/xmTzP4E08UI797ixaei6hBEVL9BI/PsdJS5x7mWoB9Q=="; }; }; - "@babel/runtime-corejs3-7.8.7" = { + "@babel/runtime-corejs3-7.9.2" = { name = "_at_babel_slash_runtime-corejs3"; packageName = "@babel/runtime-corejs3"; - version = "7.8.7"; + version = "7.9.2"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/runtime-corejs3/-/runtime-corejs3-7.8.7.tgz"; - sha512 = "sc7A+H4I8kTd7S61dgB9RomXu/C+F4IrRr4Ytze4dnfx7AXEpCrejSNpjx7vq6y/Bak9S6Kbk65a/WgMLtg43Q=="; + url = "https://registry.npmjs.org/@babel/runtime-corejs3/-/runtime-corejs3-7.9.2.tgz"; + sha512 = "HHxmgxbIzOfFlZ+tdeRKtaxWOMUoCG5Mu3wKeUmOxjYrwb3AAHgnmtCUbPPK11/raIWLIBK250t8E2BPO0p7jA=="; }; }; "@babel/template-7.8.6" = { @@ -1138,13 +1174,13 @@ let sha512 = "zbMsPMy/v0PWFZEhQJ66bqjhH+z0JgMoBWuikXybgG3Gkd/3t5oQ1Rw2WQhnSrsOmsKXnZOx15tkC4qON/+JPg=="; }; }; - "@babel/traverse-7.8.6" = { + "@babel/traverse-7.9.0" = { name = "_at_babel_slash_traverse"; packageName = "@babel/traverse"; - version = "7.8.6"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/traverse/-/traverse-7.8.6.tgz"; - sha512 = "2B8l0db/DPi8iinITKuo7cbPznLCEk0kCxDoB9/N6gGNg/gxOXiR/IcymAFPiBwk5w6TtQ27w4wpElgp9btR9A=="; + url = "https://registry.npmjs.org/@babel/traverse/-/traverse-7.9.0.tgz"; + sha512 = "jAZQj0+kn4WTHO5dUZkZKhbFrqZE7K5LAQ5JysMnmvGij+wOdr+8lWqPeW0BcF4wFwrEXXtdGO7wcV6YPJcf3w=="; }; }; "@babel/types-7.0.0-beta.38" = { @@ -1165,13 +1201,13 @@ let sha512 = "wqz7pgWMIrht3gquyEFPVXeXCti72Rm8ep9b5tQKz9Yg9LzJA3HxosF1SB3Kc81KD1A3XBkkVYtJvCKS2Z/QrA=="; }; }; - "@babel/types-7.8.7" = { + "@babel/types-7.9.0" = { name = "_at_babel_slash_types"; packageName = "@babel/types"; - version = "7.8.7"; + version = "7.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/types/-/types-7.8.7.tgz"; - sha512 = "k2TreEHxFA4CjGkL+GYjRyx35W0Mr7DP5+9q6WMkyKXB+904bYmG40syjMFV0oLlhhFCwWl0vA0DyzTDkwAiJw=="; + url = "https://registry.npmjs.org/@babel/types/-/types-7.9.0.tgz"; + sha512 = "BS9JKfXkzzJl8RluW4JGknzpiUV7ZrvTayM6yfqLTVBEnFtyowVIOu6rqxRd5cVO6yGoWf4T8u8dgK9oB+GCng=="; }; }; "@chemzqm/neovim-5.1.9" = { @@ -2587,22 +2623,22 @@ let sha512 = "MI4Xx6LHs4Webyvi6EbspgyAb4D2Q2VtnCQ1blOJcoLS6mVa8lNN2rkIy1CVxfTUpoyIbCTkXES1rLXztFD1lg=="; }; }; - "@schematics/angular-9.0.6" = { + "@schematics/angular-9.0.7" = { name = "_at_schematics_slash_angular"; packageName = "@schematics/angular"; - version = "9.0.6"; + version = "9.0.7"; src = fetchurl { - url = "https://registry.npmjs.org/@schematics/angular/-/angular-9.0.6.tgz"; - sha512 = "oYIfSJF9ISAJWJjIiUnj8Rp1m4t9T3oqKl1FzkMWXvUmR1BfkO2S2/Moi2RQ0aHG6D9Oz4CJjrsQRmjaqBpEZw=="; + url = "https://registry.npmjs.org/@schematics/angular/-/angular-9.0.7.tgz"; + sha512 = "3UCeexYx/YVo3kboyPZ8KgqBTduMA18AAm3s2yrC0qj41fBFVVZAZLa74uouTf4RYVgy9kR7J3uv6VLxrJPOnQ=="; }; }; - "@schematics/update-0.900.6" = { + "@schematics/update-0.900.7" = { name = "_at_schematics_slash_update"; packageName = "@schematics/update"; - version = "0.900.6"; + version = "0.900.7"; src = fetchurl { - url = "https://registry.npmjs.org/@schematics/update/-/update-0.900.6.tgz"; - sha512 = "54Xi3FIJQWFBM91vxD9ciKkTlNWaIV7wsjKSImg53h2m2/l2VPPHyIZWI4j79dWXlfJVTNeaqPNYGzJlRvaEmA=="; + url = "https://registry.npmjs.org/@schematics/update/-/update-0.900.7.tgz"; + sha512 = "e9tX2DGNYfj/k9mVICpQt2bWIYyD92dlsip7LzPeZGt+R9zCp5w19uBLa8Z00OgEGzFR1krhRvkQE5OxkkAnVw=="; }; }; "@serverless/cli-1.4.0" = { @@ -2641,13 +2677,13 @@ let sha512 = "PY7gH+7aQ+MltcUD7SRDuQODJ9Sav9HhFJsgOiyf8IVo7XVD6FxZIsSnpMI6paSkptOB7n+0Jz03gNlEkKetQQ=="; }; }; - "@serverless/enterprise-plugin-3.5.0" = { + "@serverless/enterprise-plugin-3.6.0" = { name = "_at_serverless_slash_enterprise-plugin"; packageName = "@serverless/enterprise-plugin"; - version = "3.5.0"; + version = "3.6.0"; src = fetchurl { - url = "https://registry.npmjs.org/@serverless/enterprise-plugin/-/enterprise-plugin-3.5.0.tgz"; - sha512 = "AyY7ADtUItSQFQjNRaXZ5ZgMVeeUJZ05UJHxN3WbO9c3fY6/6TxAQRlrDB2O5hfSPkBDfqhUOSp2xbBwIVg/Tw=="; + url = "https://registry.npmjs.org/@serverless/enterprise-plugin/-/enterprise-plugin-3.6.0.tgz"; + sha512 = "nOefmzh6fz1vY9tfUzDNYVyg1jlsOVdmbp3JVMZMsMhIKQvBpGZYcaAboSyU97pIOFYUlsSBVQsc57IuLsIeiQ=="; }; }; "@serverless/event-mocks-1.1.1" = { @@ -2839,6 +2875,15 @@ let sha512 = "dlled3mfpnAt3cQb5hxkFiqfPCj4Yk0xV8Yl5P8PeVv1pUmO7vI4Ka4Mjs4r6CYM5f9kZhviFPQQcWOIDlMRcw=="; }; }; + "@sorg/log-2.1.0" = { + name = "_at_sorg_slash_log"; + packageName = "@sorg/log"; + version = "2.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@sorg/log/-/log-2.1.0.tgz"; + sha512 = "weyuceH7eLZGlQLwA9+c2vxCWtWLe0vt4ma9qHzvB7aIbEZkAUXsONytEza6vNq2hIeL3/lRFRoGeiuBbnFfaA=="; + }; + }; "@starptech/expression-parser-0.10.0" = { name = "_at_starptech_slash_expression-parser"; packageName = "@starptech/expression-parser"; @@ -2848,15 +2893,6 @@ let sha512 = "HcNE5lqbBd0CNMArErVboWZ9PyJ8Hqp0VGnLJXkA3e38r6/VjhFa2pcsoJQGQiiuHj6napSMr3s+Gc34WUGhzw=="; }; }; - "@starptech/expression-parser-0.9.0" = { - name = "_at_starptech_slash_expression-parser"; - packageName = "@starptech/expression-parser"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/expression-parser/-/expression-parser-0.9.0.tgz"; - sha512 = "a4CFSYZ3klnflR/rkvN87T2r/n+RxfMhDaZlrWYBaCHcbPhX+2THWyKAn82vT6Ari3SPp3XOsTqUZK4Vx2qcTA=="; - }; - }; "@starptech/hast-util-from-webparser-0.10.0" = { name = "_at_starptech_slash_hast-util-from-webparser"; packageName = "@starptech/hast-util-from-webparser"; @@ -2866,15 +2902,6 @@ let sha512 = "ebBrqxnkk4uhOkYXi0EMsHLrUrpGUjAMGz++04HPZmZYfpEZjaHxF3VmhfTWnS6u8SGUtsDPMQ+RxHSvrsNxZg=="; }; }; - "@starptech/hast-util-from-webparser-0.9.0" = { - name = "_at_starptech_slash_hast-util-from-webparser"; - packageName = "@starptech/hast-util-from-webparser"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/hast-util-from-webparser/-/hast-util-from-webparser-0.9.0.tgz"; - sha512 = "evqGr0KOXB27vu/KiNv6gmd/ggygzwYvINVsCWF6GAd1SFQNS4Vz2wv4tmiktX6rcr6Qq+jBwVXvVwe60d5Z3g=="; - }; - }; "@starptech/prettyhtml-0.10.0" = { name = "_at_starptech_slash_prettyhtml"; packageName = "@starptech/prettyhtml"; @@ -2884,15 +2911,6 @@ let sha512 = "d79qc81gX5oyiv0Ioz82NEMnO/waltC7HAOlZ8r/es/zPuRilWMRo5ZCV00/80ZsQ0MiCIuhAnvUcg7rVzFDLg=="; }; }; - "@starptech/prettyhtml-0.9.0" = { - name = "_at_starptech_slash_prettyhtml"; - packageName = "@starptech/prettyhtml"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/prettyhtml/-/prettyhtml-0.9.0.tgz"; - sha512 = "GekZtpBSdlFo732AxrpO7W8ue1dEZdpMK0kNHD/NVDBNU/50/D0NJ72FD2HKLh0Aune9uK+x8b+9MbBFJ2JT9A=="; - }; - }; "@starptech/prettyhtml-formatter-0.10.0" = { name = "_at_starptech_slash_prettyhtml-formatter"; packageName = "@starptech/prettyhtml-formatter"; @@ -2902,15 +2920,6 @@ let sha512 = "AEpBQTRHhgB9NmQZNXINo/ObavGLATEvS41MgiJljsiSHzfUX3ltOPLI2fy9VfDB3E76mjUqIfmEQ/v5lJ5Cfw=="; }; }; - "@starptech/prettyhtml-formatter-0.9.0" = { - name = "_at_starptech_slash_prettyhtml-formatter"; - packageName = "@starptech/prettyhtml-formatter"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/prettyhtml-formatter/-/prettyhtml-formatter-0.9.0.tgz"; - sha512 = "uyG90dzyERExzQg7VTYJm3uBs6hIW81MDvqACgiFgmaafTrveKebJxAZpkbkAgTesvNmApM2I0b5Tu4OKHmaKQ=="; - }; - }; "@starptech/prettyhtml-hast-to-html-0.10.0" = { name = "_at_starptech_slash_prettyhtml-hast-to-html"; packageName = "@starptech/prettyhtml-hast-to-html"; @@ -2920,15 +2929,6 @@ let sha512 = "TAbm1q6bCBl13i8FbY/1eHMnTHWr/kLM5RcOD1S6F3T12DwhMwcqagMzqPQc4tT1DmyLzGWY8SA/p3HrB0iPcg=="; }; }; - "@starptech/prettyhtml-hast-to-html-0.9.0" = { - name = "_at_starptech_slash_prettyhtml-hast-to-html"; - packageName = "@starptech/prettyhtml-hast-to-html"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/prettyhtml-hast-to-html/-/prettyhtml-hast-to-html-0.9.0.tgz"; - sha512 = "PcMRqtwXBDsliBC6nesNlDaO0a4uqC2uwfqbz/1VRaFVEInudMQ00fbRjv3Fql5C2YZ1MObMwre/+W7UDuzcIw=="; - }; - }; "@starptech/prettyhtml-hastscript-0.10.0" = { name = "_at_starptech_slash_prettyhtml-hastscript"; packageName = "@starptech/prettyhtml-hastscript"; @@ -2938,15 +2938,6 @@ let sha512 = "oSZB/CXRagbJ1UAGihSsdDcvHIGa+ivdVVmljWtJDqO5+FfFn9utzCw/QI9NAV3m9cgFWRdW/6TkXwbdPrIQ4A=="; }; }; - "@starptech/prettyhtml-hastscript-0.9.0" = { - name = "_at_starptech_slash_prettyhtml-hastscript"; - packageName = "@starptech/prettyhtml-hastscript"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/prettyhtml-hastscript/-/prettyhtml-hastscript-0.9.0.tgz"; - sha512 = "ifXB3oqZl5LMMaFuQvfUYF0e+XdGDeQoIzFQYdA59cJ+RgjGdsjgFMmvkW+kxZwMWRYyAsLsYuJ19UfIWO8ymQ=="; - }; - }; "@starptech/prettyhtml-sort-attributes-0.10.0" = { name = "_at_starptech_slash_prettyhtml-sort-attributes"; packageName = "@starptech/prettyhtml-sort-attributes"; @@ -2956,15 +2947,6 @@ let sha512 = "ctsjmEEsxzW4dzMOIwYRWQvqfilgdGFaZn+lIxiNuPJIL4V4ZpgQhT96Us5BQcalHYQqQsKF+nRelCWFhd67IQ=="; }; }; - "@starptech/prettyhtml-sort-attributes-0.9.0" = { - name = "_at_starptech_slash_prettyhtml-sort-attributes"; - packageName = "@starptech/prettyhtml-sort-attributes"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/prettyhtml-sort-attributes/-/prettyhtml-sort-attributes-0.9.0.tgz"; - sha512 = "jj4btXkDU0dnY8llM6Cv+6arqVIjfaHhrfLnaaiVdLLb02f1eazMIr8+4jfK0Dol4Bfg3AC3RIYAyu2Kd7FF6g=="; - }; - }; "@starptech/rehype-minify-whitespace-0.10.0" = { name = "_at_starptech_slash_rehype-minify-whitespace"; packageName = "@starptech/rehype-minify-whitespace"; @@ -2974,15 +2956,6 @@ let sha512 = "11k2dW0ju2hMuSfQ9znXqeCjyBtkfY7BRoyPjDLiVCsGIlqM2JpZhx46sFTF3JJOsJz9pr2HQ8Cvf4oTt9hgvg=="; }; }; - "@starptech/rehype-minify-whitespace-0.9.0" = { - name = "_at_starptech_slash_rehype-minify-whitespace"; - packageName = "@starptech/rehype-minify-whitespace"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/rehype-minify-whitespace/-/rehype-minify-whitespace-0.9.0.tgz"; - sha512 = "z4CL6TCdHyXVZGU6I632Un5g2MmIsYhnsYVerAW4DKf3Zyc5Nam2U+wVdbE2nLqTInDUpUr4vmzksD8tHsY9Ew=="; - }; - }; "@starptech/rehype-webparser-0.10.0" = { name = "_at_starptech_slash_rehype-webparser"; packageName = "@starptech/rehype-webparser"; @@ -2992,15 +2965,6 @@ let sha512 = "1CPMVKrgXjKnehAouQBa2wWkikR6jD+BZ+8/v1RDH1S1a293fOzItU63W3VIx4zv3n0iMgrTWeeyfpk/9cT4LQ=="; }; }; - "@starptech/rehype-webparser-0.9.0" = { - name = "_at_starptech_slash_rehype-webparser"; - packageName = "@starptech/rehype-webparser"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/rehype-webparser/-/rehype-webparser-0.9.0.tgz"; - sha512 = "Ndv/excuCjdRIUWtzD5YQMvuZudMvjtYmNhLllzYEzMq6+nkPrhaORuUNihDG1SYZI260CXV1khDTGqPc581Yg=="; - }; - }; "@starptech/webparser-0.10.0" = { name = "_at_starptech_slash_webparser"; packageName = "@starptech/webparser"; @@ -3010,15 +2974,6 @@ let sha512 = "vA/p1LTVfuK8dP+EhBglMS7ll3dZahBjnvjwUiJ8NNUCqH5pSAj3tcRtOG3k7k1Wx1hWHJpGgZVj0VNQIo99bA=="; }; }; - "@starptech/webparser-0.9.0" = { - name = "_at_starptech_slash_webparser"; - packageName = "@starptech/webparser"; - version = "0.9.0"; - src = fetchurl { - url = "https://registry.npmjs.org/@starptech/webparser/-/webparser-0.9.0.tgz"; - sha512 = "QEC1yjpsOtj3leNCWZGirAyVCN7WJDH+rUhfMVGQuLEfoJNKK66t/UWAOrXeGxR2jdU5IffAGnqZIHbFMX6SNw=="; - }; - }; "@szmarczak/http-timer-1.1.2" = { name = "_at_szmarczak_slash_http-timer"; packageName = "@szmarczak/http-timer"; @@ -3352,13 +3307,13 @@ let sha512 = "EYNwp3bU+98cpU4lAWYYL7Zz+2gryWH1qbdDTidVd6hkiR6weksdbMadyXKXNPEkQFhXM+hVO9ZygomHXp+AIw=="; }; }; - "@types/estree-0.0.42" = { + "@types/estree-0.0.44" = { name = "_at_types_slash_estree"; packageName = "@types/estree"; - version = "0.0.42"; + version = "0.0.44"; src = fetchurl { - url = "https://registry.npmjs.org/@types/estree/-/estree-0.0.42.tgz"; - sha512 = "K1DPVvnBCPxzD+G51/cxVIoc2X8uUVl1zpJeE6iKcgHMj4+tbat5Xu4TjV7v2QSDbIeAfLi2hIk+u2+s0MlpUQ=="; + url = "https://registry.npmjs.org/@types/estree/-/estree-0.0.44.tgz"; + sha512 = "iaIVzr+w2ZJ5HkidlZ3EJM8VTZb2MJLCjw3V+505yVts0gRC4UMvjw0d1HPtGqI/HQC/KdsYtayfzl+AXY2R8g=="; }; }; "@types/events-3.0.0" = { @@ -3379,13 +3334,13 @@ let sha512 = "5mHFNyavtLoJmnusB8OKJ5bshSzw+qkMIBAobLrIM48HJvunFva9mOa6aBwh64lBFyNwBbs0xiEFuj4eU/NjCA=="; }; }; - "@types/express-serve-static-core-4.17.2" = { + "@types/express-serve-static-core-4.17.3" = { name = "_at_types_slash_express-serve-static-core"; packageName = "@types/express-serve-static-core"; - version = "4.17.2"; + version = "4.17.3"; src = fetchurl { - url = "https://registry.npmjs.org/@types/express-serve-static-core/-/express-serve-static-core-4.17.2.tgz"; - sha512 = "El9yMpctM6tORDAiBwZVLMcxoTMcqqRO9dVyYcn7ycLWbvR8klrDn8CAOwRfZujZtWD7yS/mshTdz43jMOejbg=="; + url = "https://registry.npmjs.org/@types/express-serve-static-core/-/express-serve-static-core-4.17.3.tgz"; + sha512 = "sHEsvEzjqN+zLbqP+8OXTipc10yH1QLR+hnr5uw29gi9AhCAAAdri8ClNV7iMdrJrIzXIQtlkPvq8tJGhj3QJQ=="; }; }; "@types/fs-capacitor-2.0.0" = { @@ -3577,13 +3532,13 @@ let sha512 = "gpNnRnZP3VWzzj5k3qrpRC6Rk3H/uclhAVo1aIvwzK5p5cOrs9yEyQ8H/HBsBY0u5rrWxXEiVPQ0dEB6pkjE8Q=="; }; }; - "@types/node-13.9.1" = { + "@types/node-13.9.3" = { name = "_at_types_slash_node"; packageName = "@types/node"; - version = "13.9.1"; + version = "13.9.3"; src = fetchurl { - url = "https://registry.npmjs.org/@types/node/-/node-13.9.1.tgz"; - sha512 = "E6M6N0blf/jiZx8Q3nb0vNaswQeEyn0XlupO+xN6DtJ6r6IT4nXrTry7zhIfYvFCl3/8Cu6WIysmUBKiqV0bqQ=="; + url = "https://registry.npmjs.org/@types/node/-/node-13.9.3.tgz"; + sha512 = "01s+ac4qerwd6RHD+mVbOEsraDHSgUaefQlEdBbUolnQFjKwCr7luvAlEwW1RFojh67u0z4OUTjPn9LEl4zIkA=="; }; }; "@types/node-6.14.9" = { @@ -3757,6 +3712,15 @@ let sha512 = "FA/BWv8t8ZWJ+gEOnLLd8ygxH/2UFbAvgEonyfN6yWGLKc7zVjbpl2Y4CTjid9h2RfgPP6SEt6uHwEOply00yw=="; }; }; + "@types/yoga-layout-1.9.1" = { + name = "_at_types_slash_yoga-layout"; + packageName = "@types/yoga-layout"; + version = "1.9.1"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/yoga-layout/-/yoga-layout-1.9.1.tgz"; + sha512 = "OpfgQXWLZn5Dl7mOd8dBNcV8NywXbYYoHjUpa64vJ/RQABaxMzJ5bVicKLGIvIiMnQPtPgKNgXb5jkv9fkOQtw=="; + }; + }; "@types/zen-observable-0.8.0" = { name = "_at_types_slash_zen-observable"; packageName = "@types/zen-observable"; @@ -3793,6 +3757,15 @@ let sha512 = "b5rCmd2e6DCC6tCTN9GSUAuxdYwCM/k/2wdjHGrIRGPSJotWMCe/dGpi66u42bhuh8q3QBzqM4TMA1GUUCJvdw=="; }; }; + "@typescript-eslint/typescript-estree-2.25.0" = { + name = "_at_typescript-eslint_slash_typescript-estree"; + packageName = "@typescript-eslint/typescript-estree"; + version = "2.25.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@typescript-eslint/typescript-estree/-/typescript-estree-2.25.0.tgz"; + sha512 = "VUksmx5lDxSi6GfmwSK7SSoIKSw9anukWWNitQPqt58LuYrKalzsgeuignbqnB+rK/xxGlSsCy8lYnwFfB6YJg=="; + }; + }; "@vue/cli-shared-utils-4.2.3" = { name = "_at_vue_slash_cli-shared-utils"; packageName = "@vue/cli-shared-utils"; @@ -3838,15 +3811,6 @@ let sha512 = "gDrC14Ae2b4gP9vYdCzx6ytY4LuYoH3I0h0QzU9RPifGPgjXz8F3s5g9632P7Wf39vQQg6XQ0Bfv29rc5RoTmw=="; }; }; - "@webassemblyjs/ast-1.8.5" = { - name = "_at_webassemblyjs_slash_ast"; - packageName = "@webassemblyjs/ast"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/ast/-/ast-1.8.5.tgz"; - sha512 = "aJMfngIZ65+t71C3y2nBBg5FFG0Okt9m0XEgWZ7Ywgn1oMAT8cNwx00Uv1cQyHtidq0Xn94R4TAywO+LCQ+ZAQ=="; - }; - }; "@webassemblyjs/ast-1.9.0" = { name = "_at_webassemblyjs_slash_ast"; packageName = "@webassemblyjs/ast"; @@ -3865,15 +3829,6 @@ let sha512 = "g50x4xV7o2b39pB+uppF3kibFXhb9Dl4Jj3fj18eqWPGBgabreIwQmw3B5Uc6Y7Ec7ZZJ8TrUe79swN3iBaPDQ=="; }; }; - "@webassemblyjs/floating-point-hex-parser-1.8.5" = { - name = "_at_webassemblyjs_slash_floating-point-hex-parser"; - packageName = "@webassemblyjs/floating-point-hex-parser"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/floating-point-hex-parser/-/floating-point-hex-parser-1.8.5.tgz"; - sha512 = "9p+79WHru1oqBh9ewP9zW95E3XAo+90oth7S5Re3eQnECGq59ly1Ri5tsIipKGpiStHsUYmY3zMLqtk3gTcOtQ=="; - }; - }; "@webassemblyjs/floating-point-hex-parser-1.9.0" = { name = "_at_webassemblyjs_slash_floating-point-hex-parser"; packageName = "@webassemblyjs/floating-point-hex-parser"; @@ -3892,15 +3847,6 @@ let sha512 = "79RidFwQOl8vG+Wv1uQWfCw4JQO5XR8iQcNGKLum3oPsSG8jkuEK5ILT6NxT3MNOa+xwSd3d+YqVFB1V0/W7/w=="; }; }; - "@webassemblyjs/helper-api-error-1.8.5" = { - name = "_at_webassemblyjs_slash_helper-api-error"; - packageName = "@webassemblyjs/helper-api-error"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/helper-api-error/-/helper-api-error-1.8.5.tgz"; - sha512 = "Za/tnzsvnqdaSPOUXHyKJ2XI7PDX64kWtURyGiJJZKVEdFOsdKUCPTNEVFZq3zJ2R0G5wc2PZ5gvdTRFgm81zA=="; - }; - }; "@webassemblyjs/helper-api-error-1.9.0" = { name = "_at_webassemblyjs_slash_helper-api-error"; packageName = "@webassemblyjs/helper-api-error"; @@ -3919,13 +3865,13 @@ let sha512 = "ex3cnmE6V0JfCBIesxF70vsPvh/QNOfaIsL5N0lkiJjVDl65YjH/WZxLe0nTuIuvVQhZH7DdRzUm0G9g12YACg=="; }; }; - "@webassemblyjs/helper-buffer-1.8.5" = { + "@webassemblyjs/helper-buffer-1.9.0" = { name = "_at_webassemblyjs_slash_helper-buffer"; packageName = "@webassemblyjs/helper-buffer"; - version = "1.8.5"; + version = "1.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/helper-buffer/-/helper-buffer-1.8.5.tgz"; - sha512 = "Ri2R8nOS0U6G49Q86goFIPNgjyl6+oE1abW1pS84BuhP1Qcr5JqMwRFT3Ah3ADDDYGEgGs1iyb1DGX+kAi/c/Q=="; + url = "https://registry.npmjs.org/@webassemblyjs/helper-buffer/-/helper-buffer-1.9.0.tgz"; + sha512 = "qZol43oqhq6yBPx7YM3m9Bv7WMV9Eevj6kMi6InKOuZxhw+q9hOkvq5e/PpKSiLfyetpaBnogSbNCfBwyB00CA=="; }; }; "@webassemblyjs/helper-code-frame-1.8.1" = { @@ -3937,15 +3883,6 @@ let sha512 = "vSs2ObU/pbPXrvMqfpEUnvTcvlhwHT3ochBdekn+cv5zYR1wtmAIj+UXrmzbkBQYff/yTrZgaeqkFaT3fLLOrA=="; }; }; - "@webassemblyjs/helper-code-frame-1.8.5" = { - name = "_at_webassemblyjs_slash_helper-code-frame"; - packageName = "@webassemblyjs/helper-code-frame"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/helper-code-frame/-/helper-code-frame-1.8.5.tgz"; - sha512 = "VQAadSubZIhNpH46IR3yWO4kZZjMxN1opDrzePLdVKAZ+DFjkGD/rf4v1jap744uPVU6yjL/smZbRIIJTOUnKQ=="; - }; - }; "@webassemblyjs/helper-code-frame-1.9.0" = { name = "_at_webassemblyjs_slash_helper-code-frame"; packageName = "@webassemblyjs/helper-code-frame"; @@ -3982,15 +3919,6 @@ let sha512 = "WeXD3ZkKi2wpAXqPW+COawoNb0Vcu3OGoaQv8/cL3VpTfGO85ZN30h/6CjUHLISGZtpZxQu3D7AuJmI/rlEqAw=="; }; }; - "@webassemblyjs/helper-fsm-1.8.5" = { - name = "_at_webassemblyjs_slash_helper-fsm"; - packageName = "@webassemblyjs/helper-fsm"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/helper-fsm/-/helper-fsm-1.8.5.tgz"; - sha512 = "kRuX/saORcg8se/ft6Q2UbRpZwP4y7YrWsLXPbbmtepKr22i8Z4O3V5QE9DbZK908dh5Xya4Un57SDIKwB9eow=="; - }; - }; "@webassemblyjs/helper-fsm-1.9.0" = { name = "_at_webassemblyjs_slash_helper-fsm"; packageName = "@webassemblyjs/helper-fsm"; @@ -4009,15 +3937,6 @@ let sha512 = "657xpRy6lptA7oCIgOKQAHElsgAXliqutMPLjoEL2T5Uyp1cIDUH7axmphu7bb5U+ZUpwApnZHvdvyJYGDOxsQ=="; }; }; - "@webassemblyjs/helper-module-context-1.8.5" = { - name = "_at_webassemblyjs_slash_helper-module-context"; - packageName = "@webassemblyjs/helper-module-context"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/helper-module-context/-/helper-module-context-1.8.5.tgz"; - sha512 = "/O1B236mN7UNEU4t9X7Pj38i4VoU8CcMHyy3l2cV/kIF4U5KoHXDVqcDuOs1ltkac90IM4vZdHc52t1x8Yfs3g=="; - }; - }; "@webassemblyjs/helper-module-context-1.9.0" = { name = "_at_webassemblyjs_slash_helper-module-context"; packageName = "@webassemblyjs/helper-module-context"; @@ -4036,15 +3955,6 @@ let sha512 = "MDdqmxj6ea1qfHBLKVHaF2+IyWLQtw8+bvRaeZc4MtcO7dNBz/2cZZ/GCFN9kGTJVvhe37tkeCi2JAB3evoU2w=="; }; }; - "@webassemblyjs/helper-wasm-bytecode-1.8.5" = { - name = "_at_webassemblyjs_slash_helper-wasm-bytecode"; - packageName = "@webassemblyjs/helper-wasm-bytecode"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/helper-wasm-bytecode/-/helper-wasm-bytecode-1.8.5.tgz"; - sha512 = "Cu4YMYG3Ddl72CbmpjU/wbP6SACcOPVbHN1dI4VJNJVgFwaKf1ppeFJrwydOG3NDHxVGuCfPlLZNyEdIYlQ6QQ=="; - }; - }; "@webassemblyjs/helper-wasm-bytecode-1.9.0" = { name = "_at_webassemblyjs_slash_helper-wasm-bytecode"; packageName = "@webassemblyjs/helper-wasm-bytecode"; @@ -4063,13 +3973,13 @@ let sha512 = "FlNdlARr+mcP8XL+wg6bXqgC+0ZwnltqXExw63e9cgK84bAdTwKnfX9k6CKg8qvK5e/d9dUmk0dkVrkyEpKx5w=="; }; }; - "@webassemblyjs/helper-wasm-section-1.8.5" = { + "@webassemblyjs/helper-wasm-section-1.9.0" = { name = "_at_webassemblyjs_slash_helper-wasm-section"; packageName = "@webassemblyjs/helper-wasm-section"; - version = "1.8.5"; + version = "1.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/helper-wasm-section/-/helper-wasm-section-1.8.5.tgz"; - sha512 = "VV083zwR+VTrIWWtgIUpqfvVdK4ff38loRmrdDBgBT8ADXYsEZ5mPQ4Nde90N3UYatHdYoDIFb7oHzMncI02tA=="; + url = "https://registry.npmjs.org/@webassemblyjs/helper-wasm-section/-/helper-wasm-section-1.9.0.tgz"; + sha512 = "XnMB8l3ek4tvrKUUku+IVaXNHz2YsJyOOmz+MMkZvh8h1uSJpSen6vYnw3IoQ7WwEuAhL8Efjms1ZWjqh2agvw=="; }; }; "@webassemblyjs/ieee754-1.8.1" = { @@ -4081,15 +3991,6 @@ let sha512 = "Pq3IQR3uay+rFC0qIgg6xvD+uu0a9QEWDCRihHuU9wmOBFW3Lda/ObnO0HjC7XUJ8A9h4xExaa1w5TsSk+DxIQ=="; }; }; - "@webassemblyjs/ieee754-1.8.5" = { - name = "_at_webassemblyjs_slash_ieee754"; - packageName = "@webassemblyjs/ieee754"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/ieee754/-/ieee754-1.8.5.tgz"; - sha512 = "aaCvQYrvKbY/n6wKHb/ylAJr27GglahUO89CcGXMItrOBqRarUMxWLJgxm9PJNuKULwN5n1csT9bYoMeZOGF3g=="; - }; - }; "@webassemblyjs/ieee754-1.9.0" = { name = "_at_webassemblyjs_slash_ieee754"; packageName = "@webassemblyjs/ieee754"; @@ -4108,15 +4009,6 @@ let sha512 = "Ir8M3hgTzFLEOKmMMH44neM6sLESfEoSCjNsOInETxbSpPY1MKOsFSAxCUaeXhjtLQfflCCdjgSsU+2veP6SGw=="; }; }; - "@webassemblyjs/leb128-1.8.5" = { - name = "_at_webassemblyjs_slash_leb128"; - packageName = "@webassemblyjs/leb128"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/leb128/-/leb128-1.8.5.tgz"; - sha512 = "plYUuUwleLIziknvlP8VpTgO4kqNaH57Y3JnNa6DLpu/sGcP6hbVdfdX5aHAV716pQBKrfuU26BJK29qY37J7A=="; - }; - }; "@webassemblyjs/leb128-1.9.0" = { name = "_at_webassemblyjs_slash_leb128"; packageName = "@webassemblyjs/leb128"; @@ -4135,15 +4027,6 @@ let sha512 = "I5QQEb5ajQ99ARiyDrVQM/2nvyFFG0tF1TX2Ql7dOjw5GRT6P4FF+gRk7OeAUtI1CLyffUNWbIvpJz13crGSxw=="; }; }; - "@webassemblyjs/utf8-1.8.5" = { - name = "_at_webassemblyjs_slash_utf8"; - packageName = "@webassemblyjs/utf8"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/utf8/-/utf8-1.8.5.tgz"; - sha512 = "U7zgftmQriw37tfD934UNInokz6yTmn29inT2cAetAsaU9YeVCveWEwhKL1Mg4yS7q//NGdzy79nlXh3bT8Kjw=="; - }; - }; "@webassemblyjs/utf8-1.9.0" = { name = "_at_webassemblyjs_slash_utf8"; packageName = "@webassemblyjs/utf8"; @@ -4162,13 +4045,13 @@ let sha512 = "ysKeFNEWX8r45OXHtH0UPkqFM5qeUd+887+KnDob6kCrryqjNNyW3Ew+fTI8u1vzg7VUXYOJqxXplRUF6cfsfA=="; }; }; - "@webassemblyjs/wasm-edit-1.8.5" = { + "@webassemblyjs/wasm-edit-1.9.0" = { name = "_at_webassemblyjs_slash_wasm-edit"; packageName = "@webassemblyjs/wasm-edit"; - version = "1.8.5"; + version = "1.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/wasm-edit/-/wasm-edit-1.8.5.tgz"; - sha512 = "A41EMy8MWw5yvqj7MQzkDjU29K7UJq1VrX2vWLzfpRHt3ISftOXqrtojn7nlPsZ9Ijhp5NwuODuycSvfAO/26Q=="; + url = "https://registry.npmjs.org/@webassemblyjs/wasm-edit/-/wasm-edit-1.9.0.tgz"; + sha512 = "FgHzBm80uwz5M8WKnMTn6j/sVbqilPdQXTWraSjBwFXSYGirpkSWE2R9Qvz9tNiTKQvoKILpCuTjBKzOIm0nxw=="; }; }; "@webassemblyjs/wasm-gen-1.8.1" = { @@ -4180,22 +4063,22 @@ let sha512 = "xOgoGf6rR6gHlhlNlU0EfMIgDAjbLCO2cNdEIKdGfKj2/fc02pbAyS3gYJ6EWAzSnL/XpAOf3Q/trp/EUeikug=="; }; }; - "@webassemblyjs/wasm-gen-1.8.5" = { + "@webassemblyjs/wasm-gen-1.9.0" = { name = "_at_webassemblyjs_slash_wasm-gen"; packageName = "@webassemblyjs/wasm-gen"; - version = "1.8.5"; + version = "1.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/wasm-gen/-/wasm-gen-1.8.5.tgz"; - sha512 = "BCZBT0LURC0CXDzj5FXSc2FPTsxwp3nWcqXQdOZE4U7h7i8FqtFK5Egia6f9raQLpEKT1VL7zr4r3+QX6zArWg=="; + url = "https://registry.npmjs.org/@webassemblyjs/wasm-gen/-/wasm-gen-1.9.0.tgz"; + sha512 = "cPE3o44YzOOHvlsb4+E9qSqjc9Qf9Na1OO/BHFy4OI91XDE14MjFN4lTMezzaIWdPqHnsTodGGNP+iRSYfGkjA=="; }; }; - "@webassemblyjs/wasm-opt-1.8.5" = { + "@webassemblyjs/wasm-opt-1.9.0" = { name = "_at_webassemblyjs_slash_wasm-opt"; packageName = "@webassemblyjs/wasm-opt"; - version = "1.8.5"; + version = "1.9.0"; src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/wasm-opt/-/wasm-opt-1.8.5.tgz"; - sha512 = "HKo2mO/Uh9A6ojzu7cjslGaHaUU14LdLbGEKqTR7PBKwT6LdPtLLh9fPY33rmr5wcOMrsWDbbdCHq4hQUdd37Q=="; + url = "https://registry.npmjs.org/@webassemblyjs/wasm-opt/-/wasm-opt-1.9.0.tgz"; + sha512 = "Qkjgm6Anhm+OMbIL0iokO7meajkzQD71ioelnfPEj6r4eOFuqm4YC3VBPqXjFyyNwowzbMD+hizmprP/Fwkl2A=="; }; }; "@webassemblyjs/wasm-parser-1.8.1" = { @@ -4207,15 +4090,6 @@ let sha512 = "k63WJZdIjTQgZt+cn8rsIEvW0aNKttGip6ygTE/ZPXKZsMTk0G5xyw+MQxphbvt/GYbNu5DdxGN/7WGybO95TA=="; }; }; - "@webassemblyjs/wasm-parser-1.8.5" = { - name = "_at_webassemblyjs_slash_wasm-parser"; - packageName = "@webassemblyjs/wasm-parser"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/wasm-parser/-/wasm-parser-1.8.5.tgz"; - sha512 = "pi0SYE9T6tfcMkthwcgCpL0cM9nRYr6/6fjgDtL6q/ZqKHdMWvxitRi5JcZ7RI4SNJJYnYNaWy5UUrHQy998lw=="; - }; - }; "@webassemblyjs/wasm-parser-1.9.0" = { name = "_at_webassemblyjs_slash_wasm-parser"; packageName = "@webassemblyjs/wasm-parser"; @@ -4234,15 +4108,6 @@ let sha512 = "iXjhXGhZeZIAnWkHD2G4ZOx8x5GYux5dwHuQL/AU8jb2H3BxolxVvNdpDmBTQPKDAgAAEeCFDnftNf4xNR9KMQ=="; }; }; - "@webassemblyjs/wast-parser-1.8.5" = { - name = "_at_webassemblyjs_slash_wast-parser"; - packageName = "@webassemblyjs/wast-parser"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/wast-parser/-/wast-parser-1.8.5.tgz"; - sha512 = "daXC1FyKWHF1i11obK086QRlsMsY4+tIOKgBqI1lxAnkp9xe9YMcgOxm9kLe+ttjs5aWV2KKE1TWJCN57/Btsg=="; - }; - }; "@webassemblyjs/wast-parser-1.9.0" = { name = "_at_webassemblyjs_slash_wast-parser"; packageName = "@webassemblyjs/wast-parser"; @@ -4261,15 +4126,6 @@ let sha512 = "YYRBpDCBLeYJBO+sVapLRkEE/+wrjv1O03IEybkqyls3sCZqhu3ZXjJwMSMCgFEyYP2MrdZvqL/dz2RBnULTbA=="; }; }; - "@webassemblyjs/wast-printer-1.8.5" = { - name = "_at_webassemblyjs_slash_wast-printer"; - packageName = "@webassemblyjs/wast-printer"; - version = "1.8.5"; - src = fetchurl { - url = "https://registry.npmjs.org/@webassemblyjs/wast-printer/-/wast-printer-1.8.5.tgz"; - sha512 = "w0U0pD4EhlnvRyeJzBqaVSJAo9w/ce7/WPogeXLzGkO6hzhr4GnQIZ4W4uUt5b9ooAaXPtnXlj0gzsXEOUNYMg=="; - }; - }; "@webassemblyjs/wast-printer-1.9.0" = { name = "_at_webassemblyjs_slash_wast-printer"; packageName = "@webassemblyjs/wast-printer"; @@ -5827,6 +5683,15 @@ let sha512 = "rPMUMkR8JjjPDDHHDZ/YeLO0KIbUGCrXgy921F6sBkEXBR9jYYxK8LUlwpZkUVi70cMR6r8uSmHZ/5HvtrntHg=="; }; }; + "append-type-1.0.2" = { + name = "append-type"; + packageName = "append-type"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/append-type/-/append-type-1.0.2.tgz"; + sha512 = "hac740vT/SAbrFBLgLIWZqVT5PUAcGTWS5UkDDhr+OCizZSw90WKw6sWAEgGaYd2viIblggypMXwpjzHXOvAQg=="; + }; + }; "appendable-cli-menu-2.0.0" = { name = "appendable-cli-menu"; packageName = "appendable-cli-menu"; @@ -6547,6 +6412,15 @@ let sha1 = "59667f41fadd4f20ccbc2bb96b8d4f7f78ec0367"; }; }; + "ast-module-types-2.6.0" = { + name = "ast-module-types"; + packageName = "ast-module-types"; + version = "2.6.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ast-module-types/-/ast-module-types-2.6.0.tgz"; + sha512 = "zXSoVaMrf2R+r+ISid5/9a8SXm1LLdkhHzh6pSRhj9jklzruOOl1hva1YmFT33wAstg/f9ZndJAlq1BSrFLSGA=="; + }; + }; "ast-types-0.13.2" = { name = "ast-types"; packageName = "ast-types"; @@ -6799,6 +6673,15 @@ let sha1 = "ef249dc869d6c07e7dfd4a22c8a18850bb39d7f1"; }; }; + "at-least-node-1.0.0" = { + name = "at-least-node"; + packageName = "at-least-node"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/at-least-node/-/at-least-node-1.0.0.tgz"; + sha512 = "+q/t7Ekv1EDY2l6Gda6LLiX14rU9TV20Wa3ofeQmwPFZbOMo9DXrLbOjFaaclkXKWidIaopwAObQDqwWtGUjqg=="; + }; + }; "atob-2.1.2" = { name = "atob"; packageName = "atob"; @@ -6889,13 +6772,13 @@ let sha512 = "Iq8TRIB+/9eQ8rbGhcP7ct5cYb/3qjNYAR2SnzLCEcwF6rvVOax8+9+fccgXk4bEhQGjOZd5TLhsksmAdsbGqQ=="; }; }; - "autoprefixer-9.7.4" = { + "autoprefixer-9.7.5" = { name = "autoprefixer"; packageName = "autoprefixer"; - version = "9.7.4"; + version = "9.7.5"; src = fetchurl { - url = "https://registry.npmjs.org/autoprefixer/-/autoprefixer-9.7.4.tgz"; - sha512 = "g0Ya30YrMBAEZk60lp+qfX5YQllG+S5W3GYCFvyHTvhOki0AEQJLPEcIuGRsqVwLi8FvXPVtwTGhfr38hVpm0g=="; + url = "https://registry.npmjs.org/autoprefixer/-/autoprefixer-9.7.5.tgz"; + sha512 = "URo6Zvt7VYifomeAfJlMFnYDhow1rk2bufwkbamPEAtQFcL11moLk4PnR7n9vlu7M+BkXAZkHFA0mIcY7tjQFg=="; }; }; "await-semaphore-0.1.3" = { @@ -6925,13 +6808,13 @@ let sha1 = "00f35b2d27ac91b1f0d3ef2084c98cf1d1f0adc3"; }; }; - "aws-sdk-2.639.0" = { + "aws-sdk-2.644.0" = { name = "aws-sdk"; packageName = "aws-sdk"; - version = "2.639.0"; + version = "2.644.0"; src = fetchurl { - url = "https://registry.npmjs.org/aws-sdk/-/aws-sdk-2.639.0.tgz"; - sha512 = "cbH69oV0ObZ4tapbjDqu0j3I779uscQNhRaewjIJY5O5At4RULtd7us24n72FtT4HIwM9cwORzVxA9rK6DHKOA=="; + url = "https://registry.npmjs.org/aws-sdk/-/aws-sdk-2.644.0.tgz"; + sha512 = "FkglRU5fvWHwT2Ll0caXS/QzRNzh5ZCS5cs4pF2nP0OjdD7VNJhNtu5lOnSzvMHAtZQJMsG3k0em8PoI8vk1AQ=="; }; }; "aws-sign2-0.6.0" = { @@ -7078,13 +6961,13 @@ let sha512 = "tz0VxUhhOE2y+g8R2oFrO/2VtVjA1lkJeavlhExuRBg3LdNJY9gwQ+Vcvqt9+cqy71MCTJhewvTB7Qtnnr9SWg=="; }; }; - "babel-loader-8.0.6" = { + "babel-loader-8.1.0" = { name = "babel-loader"; packageName = "babel-loader"; - version = "8.0.6"; + version = "8.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/babel-loader/-/babel-loader-8.0.6.tgz"; - sha512 = "4BmWKtBOBm13uoUwd08UwjZlaw3O9GWf456R9j+5YykFZ6LUIjIKLc0zEZf+hauxPOJs96C8k6FvYD09vWzhYw=="; + url = "https://registry.npmjs.org/babel-loader/-/babel-loader-8.1.0.tgz"; + sha512 = "7q7nC1tYOrqvUrN3LQK4GwSk/TQorZSOlO9C+RZDZpODgyN4ZlCqE5q9cDsyWOliN+aU9B4JX01xK9eJXowJLw=="; }; }; "babel-plugin-dynamic-import-node-2.3.0" = { @@ -7735,13 +7618,13 @@ let sha1 = "38f716b24c8cee07a262abc41c22c314e20e3869"; }; }; - "bezier-js-2.5.1" = { + "bezier-js-2.6.0" = { name = "bezier-js"; packageName = "bezier-js"; - version = "2.5.1"; + version = "2.6.0"; src = fetchurl { - url = "https://registry.npmjs.org/bezier-js/-/bezier-js-2.5.1.tgz"; - sha512 = "a+U+hOQWIx1aM37TZLGbAH1Hw0YdsuBTWYNlFudz/V/CvOM56gl5RyPoH0pUwuIqg1LAhDtoA3uRVYl0ZJpi5w=="; + url = "https://registry.npmjs.org/bezier-js/-/bezier-js-2.6.0.tgz"; + sha512 = "BBnddaIUivrZYXUIbyEJrFWkjU0hFkqJyqfIbANPwUQfFr3Ec61qLaFEys7VmNIwsdHL24FJZsS6MKrY7HyudQ=="; }; }; "biased-opener-0.2.8" = { @@ -7969,13 +7852,13 @@ let sha512 = "e8tQYnZodmebYDWGH7KMRvtzKXaJHx3BbilrgZCfvyLUYdKpK1t5PSPmpkny/SgiTSCnjfLW7v5rlONXVFkQEA=="; }; }; - "bl-4.0.1" = { + "bl-4.0.2" = { name = "bl"; packageName = "bl"; - version = "4.0.1"; + version = "4.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/bl/-/bl-4.0.1.tgz"; - sha512 = "FL/TdvchukRCuWVxT0YMO/7+L5TNeNrVFvRU2IY63aUyv9mpt8splf2NEr6qXtPo5fya5a66YohQKvGNmLrWNA=="; + url = "https://registry.npmjs.org/bl/-/bl-4.0.2.tgz"; + sha512 = "j4OH8f6Qg2bGuWfRiltT2HYGx0e1QcBTrK9KAHNMwMZdQnDZFk0ZSYIpADjYCB3U12nicC5tVJwSIhwOWjb4RQ=="; }; }; "blake2b-2.1.3" = { @@ -8581,13 +8464,13 @@ let sha512 = "yWu5cXT7Av6mVwzWc8lMsJMHWn4xyjSuGYi4IozbVTLUOEYPSagUB8kiMDUHA1fS3zjr8nkxkn9jdvug4BBRmA=="; }; }; - "browserslist-4.9.1" = { + "browserslist-4.11.0" = { name = "browserslist"; packageName = "browserslist"; - version = "4.9.1"; + version = "4.11.0"; src = fetchurl { - url = "https://registry.npmjs.org/browserslist/-/browserslist-4.9.1.tgz"; - sha512 = "Q0DnKq20End3raFulq6Vfp1ecB9fh8yUNV55s8sekaDDeqBaCtWlRHCUdaWyUeSSBJM7IbM6HcsyaeYqgeDhnw=="; + url = "https://registry.npmjs.org/browserslist/-/browserslist-4.11.0.tgz"; + sha512 = "WqEC7Yr5wUH5sg6ruR++v2SGOQYpyUdYYd4tZoAq1F7y+QXoLoYGXVbxhtaIqWmAJjtNTRjVD3HuJc1OXTel2A=="; }; }; "bser-2.1.1" = { @@ -8851,6 +8734,15 @@ let sha512 = "jzQnSbdJqhIltU9O5KUiTtljP9ccw2u5ix59McQy4pV2xGhVLhRZIndY8GIrgh5HjXa6+QJ9AQhOd2QWQizJFQ=="; }; }; + "build-purescript-0.4.1" = { + name = "build-purescript"; + packageName = "build-purescript"; + version = "0.4.1"; + src = fetchurl { + url = "https://registry.npmjs.org/build-purescript/-/build-purescript-0.4.1.tgz"; + sha512 = "wHoafIs4c1yDJspybVilXRQZUauaxdGPkZU0HdJdu968uei7O4yS/cp/h1O4zIMVDu9MN6/sYDCLnhQA3iLAYA=="; + }; + }; "builtin-modules-1.1.1" = { name = "builtin-modules"; packageName = "builtin-modules"; @@ -9040,13 +8932,13 @@ let sha1 = "3fb410c7e91558eb1ab22a82834577aa6bd61d42"; }; }; - "cacache-12.0.3" = { + "cacache-12.0.4" = { name = "cacache"; packageName = "cacache"; - version = "12.0.3"; + version = "12.0.4"; src = fetchurl { - url = "https://registry.npmjs.org/cacache/-/cacache-12.0.3.tgz"; - sha512 = "kqdmfXEGFepesTuROHMs3MpFLWrPkSSpRqOw80RCflZXy/khxaArvFrQ7uJxSUduzAufc6G0g1VUCOZXxWavPw=="; + url = "https://registry.npmjs.org/cacache/-/cacache-12.0.4.tgz"; + sha512 = "a0tMB40oefvuInr4Cwb3GerbL9xTj1D5yg0T5xrjGCGyfvbxseIXX7BAO/u/hIXdafzOI5JC3wDwHyf24buOAQ=="; }; }; "cacache-15.0.0" = { @@ -9076,13 +8968,13 @@ let sha512 = "7YKEapH+2Uikde8hySyfobXBqPKULDyHNl/lhKm7cKf/GJFdG/tU/WpLrOg2y9aUrQrWUilYqawFIiGJPS6gDA=="; }; }; - "cacheable-lookup-2.0.0" = { + "cacheable-lookup-2.0.1" = { name = "cacheable-lookup"; packageName = "cacheable-lookup"; - version = "2.0.0"; + version = "2.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/cacheable-lookup/-/cacheable-lookup-2.0.0.tgz"; - sha512 = "s2piO6LvA7xnL1AR03wuEdSx3BZT3tIJpZ56/lcJwzO/6DTJZlTs7X3lrvPxk6d1PlDe6PrVe2TjlUIZNFglAQ=="; + url = "https://registry.npmjs.org/cacheable-lookup/-/cacheable-lookup-2.0.1.tgz"; + sha512 = "EMMbsiOTcdngM/K6gV/OxF2x0t07+vMOWxZNSCRQMjO2MY2nhZQ6OYhOOpyQrbhqsgtvKGI7hcq6xjnA92USjg=="; }; }; "cacheable-request-2.1.4" = { @@ -9310,6 +9202,24 @@ let sha512 = "BPCNVH56RVIxQQIXskp5tLQXUNGQ6sXr7iCv1FHDt81xBOQ/1r6H8SPxf19InVP6DexWar4s87q9thfuk8X9HA=="; }; }; + "cancelable-pipeline-1.0.0" = { + name = "cancelable-pipeline"; + packageName = "cancelable-pipeline"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/cancelable-pipeline/-/cancelable-pipeline-1.0.0.tgz"; + sha512 = "vsimf+py0scGFJyZXsawF7h2ZJ6A1p5FXjieXjQ36kZyigWKYTDW3VjiYPTj+tFqdzQzYz+XV8J24Dtc88pmGQ=="; + }; + }; + "cancelable-pump-0.4.0" = { + name = "cancelable-pump"; + packageName = "cancelable-pump"; + version = "0.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/cancelable-pump/-/cancelable-pump-0.4.0.tgz"; + sha512 = "7Yvp8ADC9exD0Kdq/Q35UD5wOiuXTTLp159gFHC+uMQvjRMllrsM6EUKnozmIe43yesLBiH/ni0KD69k07yzZQ=="; + }; + }; "caniuse-api-3.0.0" = { name = "caniuse-api"; packageName = "caniuse-api"; @@ -9319,13 +9229,13 @@ let sha512 = "bsTwuIg/BZZK/vreVTYYbSWoe2F+71P7K5QGEX+pT250DZbfU1MQ5prOKpPR+LL6uWKK3KMwMCAS74QB3Um1uw=="; }; }; - "caniuse-lite-1.0.30001035" = { + "caniuse-lite-1.0.30001036" = { name = "caniuse-lite"; packageName = "caniuse-lite"; - version = "1.0.30001035"; + version = "1.0.30001036"; src = fetchurl { - url = "https://registry.npmjs.org/caniuse-lite/-/caniuse-lite-1.0.30001035.tgz"; - sha512 = "C1ZxgkuA4/bUEdMbU5WrGY4+UhMFFiXrgNAfxiMIqWgFTWfv/xsZCS2xEHT2LMq7xAZfuAnu6mcqyDl0ZR6wLQ=="; + url = "https://registry.npmjs.org/caniuse-lite/-/caniuse-lite-1.0.30001036.tgz"; + sha512 = "jU8CIFIj2oR7r4W+5AKcsvWNVIb6Q6OZE3UsrXrZBHFtreT4YgTeOJtTucp+zSedEpTi3L5wASSP0LYIE3if6w=="; }; }; "capture-exit-2.0.0" = { @@ -10282,13 +10192,13 @@ let sha512 = "2WNImOvCRe6r63Gk9pShfkwXsVtKCroMAevIbiae021mS850UkWPbevxsBz3tnvjZIEGvlwaqCPsw+4ulzNgJA=="; }; }; - "clipboardy-2.2.0" = { + "clipboardy-2.3.0" = { name = "clipboardy"; packageName = "clipboardy"; - version = "2.2.0"; + version = "2.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/clipboardy/-/clipboardy-2.2.0.tgz"; - sha512 = "9ry9nC3VFULNmoEIqvuRwCIQ9M7wjnm4O+yvk7xkmhR+7FAUWaeX751oeYJbORg0h0zmqW1EVDoZK8f7yapwbg=="; + url = "https://registry.npmjs.org/clipboardy/-/clipboardy-2.3.0.tgz"; + sha512 = "mKhiIL2DrQIsuXMgBgnfEHOZOryC7kY7YO//TN6c63wlEm3NG5tz+YgY5rVi29KCmq/QQjKYvM7a19+MDOTHOQ=="; }; }; "clipper-lib-1.0.0" = { @@ -10579,13 +10489,13 @@ let sha512 = "q5/jG+YQnSy4nRTV4F7lPepBJZ8qBNJJDBuJdoejDyLXgmL7IEo+Le2JDZudFTFt7mrCqIRaSjws4ygRCTCAXA=="; }; }; - "coc.nvim-0.0.76" = { + "coc.nvim-0.0.77" = { name = "coc.nvim"; packageName = "coc.nvim"; - version = "0.0.76"; + version = "0.0.77"; src = fetchurl { - url = "https://registry.npmjs.org/coc.nvim/-/coc.nvim-0.0.76.tgz"; - sha512 = "URa4r4dXcvotXJDKwgzHgMk9+vRFjO7tePKnznKF7XK+ApewV4aoibQ3NzC4xMWuDGto5RWWlHlWWOd5orDiOQ=="; + url = "https://registry.npmjs.org/coc.nvim/-/coc.nvim-0.0.77.tgz"; + sha512 = "wwn3ca7iMKuH/a6NAV7HL95xkEK5DzrKJ1dWGKBs+HZHFLhzXVYhjA0RL8x8Xf62TBEQGhH1wlKtBxkluGd1oQ=="; }; }; "code-point-at-1.1.0" = { @@ -12407,6 +12317,15 @@ let sha512 = "DMxWJg0rnz7UgxKT0Q1HU/L9BeJI0M6ksor0OgqOnF+aRCDWg/N2641HmVyU9KVIu0OVVWOb2IpC9A+BJRnejg=="; }; }; + "css-tree-1.0.0-alpha.39" = { + name = "css-tree"; + packageName = "css-tree"; + version = "1.0.0-alpha.39"; + src = fetchurl { + url = "https://registry.npmjs.org/css-tree/-/css-tree-1.0.0-alpha.39.tgz"; + sha512 = "7UvkEYgBAHRG9Nt980lYxjsTrCyHFN53ky3wVsDkiMdVqylqRt+Zc+jm5qw7/qyOvN2dHSYtX0e4MbCCExSvnA=="; + }; + }; "css-what-2.1.3" = { name = "css-what"; packageName = "css-what"; @@ -12506,13 +12425,13 @@ let sha512 = "WcKx5OY+KoSIAxBW6UBBRay1U6vkYheCdjyVNDm85zt5K9mHoGOfsOsqIszfAqrQQFIIKgjh2+FDgIj/zsl21Q=="; }; }; - "csso-4.0.2" = { + "csso-4.0.3" = { name = "csso"; packageName = "csso"; - version = "4.0.2"; + version = "4.0.3"; src = fetchurl { - url = "https://registry.npmjs.org/csso/-/csso-4.0.2.tgz"; - sha512 = "kS7/oeNVXkHWxby5tHVxlhjizRCSv8QdU7hB2FpdAibDU8FjTAolhNjKNTiLzXtUrKT6HwClE81yXwEk1309wg=="; + url = "https://registry.npmjs.org/csso/-/csso-4.0.3.tgz"; + sha512 = "NL3spysxUkcrOgnpsT4Xdl2aiEiBG6bXswAABQVHcMrfjjBisFOKwLDOmf4wf32aPdcJws1zds2B0Rg+jqMyHQ=="; }; }; "cssom-0.3.8" = { @@ -12524,6 +12443,15 @@ let sha512 = "b0tGHbfegbhPJpxpiBPU2sCkigAqtM9O121le6bbOlgyV+NyGyCmVfJ6QW9eRjz8CpNfWEOYBIMIGRYkLwsIYg=="; }; }; + "cssom-0.4.4" = { + name = "cssom"; + packageName = "cssom"; + version = "0.4.4"; + src = fetchurl { + url = "https://registry.npmjs.org/cssom/-/cssom-0.4.4.tgz"; + sha512 = "p3pvU7r1MyyqbTk+WbNJIgJjG2VmTIaB10rI93LzVPrmDJKkzKYMtxxyAvQXR/NS6otuzveI7+7BBq3SjBS2mw=="; + }; + }; "cssstyle-0.2.37" = { name = "cssstyle"; packageName = "cssstyle"; @@ -12542,6 +12470,24 @@ let sha512 = "GBrLZYZ4X4x6/QEoBnIrqb8B/f5l4+8me2dkom/j1Gtbxy0kBv6OGzKuAsGM75bkGwGAFkt56Iwg28S3XTZgSA=="; }; }; + "cssstyle-2.2.0" = { + name = "cssstyle"; + packageName = "cssstyle"; + version = "2.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/cssstyle/-/cssstyle-2.2.0.tgz"; + sha512 = "sEb3XFPx3jNnCAMtqrXPDeSgQr+jojtCeNf8cvMNMh1cG970+lljssvQDzPq6lmmJu2Vhqood/gtEomBiHOGnA=="; + }; + }; + "csstype-2.6.9" = { + name = "csstype"; + packageName = "csstype"; + version = "2.6.9"; + src = fetchurl { + url = "https://registry.npmjs.org/csstype/-/csstype-2.6.9.tgz"; + sha512 = "xz39Sb4+OaTsULgUERcCk+TJj8ylkL4aSVDQiX/ksxbELSqwkgt4d4RD7fovIdgJGSuNYqwZEiVjYY5l0ask+Q=="; + }; + }; "csurf-1.11.0" = { name = "csurf"; packageName = "csurf"; @@ -12938,13 +12884,13 @@ let sha512 = "jyCETtSl3VMZMWeRo7iY1FL19ges1t55hMo5yaam4Jrsm5EPL89UQkoQRyiI+Yf4k8r2ZpdngkV8hr1lIdjb3Q=="; }; }; - "dayjs-1.8.22" = { + "dayjs-1.8.23" = { name = "dayjs"; packageName = "dayjs"; - version = "1.8.22"; + version = "1.8.23"; src = fetchurl { - url = "https://registry.npmjs.org/dayjs/-/dayjs-1.8.22.tgz"; - sha512 = "N8IXfxBD62Y9cKTuuuSoOlCXRnnzaTj1vu91r855iq6FbY5cZqOZnW/95nUn6kJiR+W9PHHrLykEoQOe6fUKxQ=="; + url = "https://registry.npmjs.org/dayjs/-/dayjs-1.8.23.tgz"; + sha512 = "NmYHMFONftoZbeOhVz6jfiXI4zSiPN6NoVWJgC0aZQfYVwzy/ZpESPHuCcI0B8BUMpSJQ08zenHDbofOLKq8hQ=="; }; }; "de-indent-1.0.2" = { @@ -13163,6 +13109,15 @@ let sha1 = "eb3913333458775cb84cd1a1fae062106bb87545"; }; }; + "decomment-0.9.2" = { + name = "decomment"; + packageName = "decomment"; + version = "0.9.2"; + src = fetchurl { + url = "https://registry.npmjs.org/decomment/-/decomment-0.9.2.tgz"; + sha512 = "sblyUmOJZxiL7oJ2ogJS6jtl/67+CTOW87SrYE/96u3PhDYikYoLCdLzcnceToiQejOLlqNnLCkaxx/+nE/ehg=="; + }; + }; "decompress-4.2.0" = { name = "decompress"; packageName = "decompress"; @@ -13559,6 +13514,24 @@ let sha512 = "QwGuEUouP2kVwQenAsOof5Fv8K9t3D8Ca8NxcXKrIpEHjTXK5J2nXLdP+ALI1cgv8wj7KuwBhTwBkOZSJKM5XQ=="; }; }; + "del-5.1.0" = { + name = "del"; + packageName = "del"; + version = "5.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/del/-/del-5.1.0.tgz"; + sha512 = "wH9xOVHnczo9jN2IW68BabcecVPxacIA3g/7z6vhSU/4stOKQzeCRK0yD0A24WiAAUJmmVpWqrERcTxnLo3AnA=="; + }; + }; + "del-cli-3.0.0" = { + name = "del-cli"; + packageName = "del-cli"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/del-cli/-/del-cli-3.0.0.tgz"; + sha512 = "J4HDC2mpcN5aopya4VdkyiFXZaqAoo7ua9VpKbciX3DDUSbtJbPMc3ivggJsAAgS6EqonmbenIiMhBGtJPW9FA=="; + }; + }; "delay-4.3.0" = { name = "delay"; packageName = "delay"; @@ -13649,6 +13622,15 @@ let sha512 = "g7nH6P6dyDioJogAAGprGpCtVImJhpPk/roCzdb3fIh61/s/nPsfR6onyMwkCAR/OlC3yBC0lESvUoQEAssIrw=="; }; }; + "dependency-tree-7.2.1" = { + name = "dependency-tree"; + packageName = "dependency-tree"; + version = "7.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/dependency-tree/-/dependency-tree-7.2.1.tgz"; + sha512 = "nBxnjkqDW4LqAzBazy60V4lE0mAtIQ+oers/GIIvVvGYVdCD9+RNNd4G9jjstyz7ZFVg/j/OiYCvK5MjoVqA2w=="; + }; + }; "deprecated-0.0.1" = { name = "deprecated"; packageName = "deprecated"; @@ -13802,6 +13784,87 @@ let sha512 = "6SsIx+nUUbuK0EthKjv0zrdnajCCXVYGmbYYiYjFVpzcjwEs/JMDZ8tPRG29J/HhN56t3GJp2cGSWDRjjot8Pg=="; }; }; + "detective-amd-3.0.0" = { + name = "detective-amd"; + packageName = "detective-amd"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-amd/-/detective-amd-3.0.0.tgz"; + sha512 = "kOpKHyabdSKF9kj7PqYHLeHPw+TJT8q2u48tZYMkIcas28el1CYeLEJ42Nm+563/Fq060T5WknfwDhdX9+kkBQ=="; + }; + }; + "detective-cjs-3.1.1" = { + name = "detective-cjs"; + packageName = "detective-cjs"; + version = "3.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-cjs/-/detective-cjs-3.1.1.tgz"; + sha512 = "JQtNTBgFY6h8uT6pgph5QpV3IyxDv+z3qPk/FZRDT9TlFfm5dnRtpH39WtQEr1khqsUxVqXzKjZHpdoQvQbllg=="; + }; + }; + "detective-es6-2.1.0" = { + name = "detective-es6"; + packageName = "detective-es6"; + version = "2.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-es6/-/detective-es6-2.1.0.tgz"; + sha512 = "QSHqKGOp/YBIfmIqKXaXeq2rlL+bp3bcIQMfZ+0PvKzRlELSOSZxKRvpxVcxlLuocQv4QnOfuWGniGrmPbz8MQ=="; + }; + }; + "detective-less-1.0.2" = { + name = "detective-less"; + packageName = "detective-less"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-less/-/detective-less-1.0.2.tgz"; + sha512 = "Rps1xDkEEBSq3kLdsdnHZL1x2S4NGDcbrjmd4q+PykK5aJwDdP5MBgrJw1Xo+kyUHuv3JEzPqxr+Dj9ryeDRTA=="; + }; + }; + "detective-postcss-3.0.1" = { + name = "detective-postcss"; + packageName = "detective-postcss"; + version = "3.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-postcss/-/detective-postcss-3.0.1.tgz"; + sha512 = "tfTS2GdpUal5NY0aCqI4dpEy8Xfr88AehYKB0iBIZvo8y2g3UsrcDnrp9PR2FbzoW7xD5Rip3NJW7eCSvtqdUw=="; + }; + }; + "detective-sass-3.0.1" = { + name = "detective-sass"; + packageName = "detective-sass"; + version = "3.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-sass/-/detective-sass-3.0.1.tgz"; + sha512 = "oSbrBozRjJ+QFF4WJFbjPQKeakoaY1GiR380NPqwdbWYd5wfl5cLWv0l6LsJVqrgWfFN1bjFqSeo32Nxza8Lbw=="; + }; + }; + "detective-scss-2.0.1" = { + name = "detective-scss"; + packageName = "detective-scss"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-scss/-/detective-scss-2.0.1.tgz"; + sha512 = "VveyXW4WQE04s05KlJ8K0bG34jtHQVgTc9InspqoQxvnelj/rdgSAy7i2DXAazyQNFKlWSWbS+Ro2DWKFOKTPQ=="; + }; + }; + "detective-stylus-1.0.0" = { + name = "detective-stylus"; + packageName = "detective-stylus"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-stylus/-/detective-stylus-1.0.0.tgz"; + sha1 = "50aee7db8babb990381f010c63fabba5b58e54cd"; + }; + }; + "detective-typescript-5.7.0" = { + name = "detective-typescript"; + packageName = "detective-typescript"; + version = "5.7.0"; + src = fetchurl { + url = "https://registry.npmjs.org/detective-typescript/-/detective-typescript-5.7.0.tgz"; + sha512 = "4SQeACXWAjIOsd2kJykPL8gWC9nVA+z8w7KtAdtd/7BCpDfrpI2ZA7pdhsmHv/zxf3ofeqpYi72vCkZ65bAjtA=="; + }; + }; "dezalgo-1.0.3" = { name = "dezalgo"; packageName = "dezalgo"; @@ -14000,13 +14063,13 @@ let sha1 = "e38331f0844bba49b9a9cb71c771585aab1bc65a"; }; }; - "discord.js-11.6.2" = { + "discord.js-11.6.3" = { name = "discord.js"; packageName = "discord.js"; - version = "11.6.2"; + version = "11.6.3"; src = fetchurl { - url = "https://registry.npmjs.org/discord.js/-/discord.js-11.6.2.tgz"; - sha512 = "QwN7RBb705qqvxletW41aw8ScZJh4LUVWtGNdLA1/N4od1pf8pueDWdnKLqw9pAud6cg9AADcCkm8os1YHWESg=="; + url = "https://registry.npmjs.org/discord.js/-/discord.js-11.6.3.tgz"; + sha512 = "dwIzHB5GPU9O/WiNw42d0yco0JED5bOjCPiHwU9VSSCkEhnyOdx5iqt7Dl2PQZAMNzWPI/t9oyCziOuzFlwm3Q=="; }; }; "discovery-channel-5.5.1" = { @@ -14054,6 +14117,15 @@ let sha1 = "d9980493ae33beec36f4fec6f171ff218130cc12"; }; }; + "dl-tar-0.8.1" = { + name = "dl-tar"; + packageName = "dl-tar"; + version = "0.8.1"; + src = fetchurl { + url = "https://registry.npmjs.org/dl-tar/-/dl-tar-0.8.1.tgz"; + sha512 = "1EdwnDJmqStX85PuVhcrqdeB3b7k4pn3WhMjPEL1lHuPEf0Mf8Cqdo76hgUPX60QWtCbPPTrDl8JFGrPMc1grw=="; + }; + }; "dlnacasts-0.1.0" = { name = "dlnacasts"; packageName = "dlnacasts"; @@ -14549,6 +14621,33 @@ let sha512 = "yXcCvhkPKmq5M2cQXss6Qbig+LZnzRIT40XCYm/QCRnJaPG867StB1qnsBLxOGrPH1YEIRWW2gJq7LLMyw+NmA=="; }; }; + "download-or-build-purescript-0.3.4" = { + name = "download-or-build-purescript"; + packageName = "download-or-build-purescript"; + version = "0.3.4"; + src = fetchurl { + url = "https://registry.npmjs.org/download-or-build-purescript/-/download-or-build-purescript-0.3.4.tgz"; + sha512 = "8P4vNgbLTZi07s3uRnUCI+kE7lAERyIexVYwAHsAw7AQhooFnVbypq/yiP1vSZVibQ4Fl74LdOWnJKbqe9Mnow=="; + }; + }; + "download-purescript-0.8.5" = { + name = "download-purescript"; + packageName = "download-purescript"; + version = "0.8.5"; + src = fetchurl { + url = "https://registry.npmjs.org/download-purescript/-/download-purescript-0.8.5.tgz"; + sha512 = "m2avp1YMDTxZW3mtlG7U09bmgCrLbBIsuBEglywew0uoG7VHVdtOwlTXEdvQQTqpW9iylrz8PokfPlqzc6AYiA=="; + }; + }; + "download-purescript-source-0.6.5" = { + name = "download-purescript-source"; + packageName = "download-purescript-source"; + version = "0.6.5"; + src = fetchurl { + url = "https://registry.npmjs.org/download-purescript-source/-/download-purescript-source-0.6.5.tgz"; + sha512 = "RyOSTL7B3qzrhCOfhgzxNrcZD1klFOAJcw2YG345AIB4su1KC4WSk6fzRz3xpg1tp/plr5v8aDlvZy+LDtf6uA=="; + }; + }; "draftlog-1.0.12" = { name = "draftlog"; packageName = "draftlog"; @@ -14810,13 +14909,13 @@ let sha512 = "7vmuyh5+kuUyJKePhQfRQBhXV5Ce+RnaeeQArKu1EAMpL3WbgMt5WG6uQZpEVvYSSsxMXRKOewtDk9RaTKXRlA=="; }; }; - "electron-to-chromium-1.3.376" = { + "electron-to-chromium-1.3.383" = { name = "electron-to-chromium"; packageName = "electron-to-chromium"; - version = "1.3.376"; + version = "1.3.383"; src = fetchurl { - url = "https://registry.npmjs.org/electron-to-chromium/-/electron-to-chromium-1.3.376.tgz"; - sha512 = "cv/PYVz5szeMz192ngilmezyPNFkUjuynuL2vNdiqIrio440nfTDdc0JJU0TS2KHLSVCs9gBbt4CFqM+HcBnjw=="; + url = "https://registry.npmjs.org/electron-to-chromium/-/electron-to-chromium-1.3.383.tgz"; + sha512 = "EHYVJl6Ox1kFy/SzGVbijHu8ksQotJnqHCFFfaVhXiC+erOSplwhCtOTSocu1jRwirlNsSn/aZ9Kf84Z6s5qrg=="; }; }; "elegant-spinner-1.0.1" = { @@ -15324,13 +15423,13 @@ let sha512 = "rcOwbfvP1WTViVoUjcfZicVzjhjTuhSMntHh6mW3IrEiyE6mJyXvsToJUJGlGlw/2xU9P5whlWNGlIDVeCiT4A=="; }; }; - "es-abstract-1.17.4" = { + "es-abstract-1.17.5" = { name = "es-abstract"; packageName = "es-abstract"; - version = "1.17.4"; + version = "1.17.5"; src = fetchurl { - url = "https://registry.npmjs.org/es-abstract/-/es-abstract-1.17.4.tgz"; - sha512 = "Ae3um/gb8F0mui/jPL+QiqmglkUsaQf7FwBEHYIFkztkneosu9imhqHpBzQ3h1vit8t5iQ74t6PEVvphBZiuiQ=="; + url = "https://registry.npmjs.org/es-abstract/-/es-abstract-1.17.5.tgz"; + sha512 = "BR9auzDbySxOcfog0tLECW8l28eRGpDpU3Dm3Hp4q/N+VtLTmyj4EUN088XZWQDW/hzj6sYRDXeOFsaAODKvpg=="; }; }; "es-get-iterator-1.1.0" = { @@ -15459,6 +15558,15 @@ let sha512 = "eO6vFm0JvqGzjWIQA6QVKjxpmELfhWbDUWHm1rPfIbn55mhKPiAa5xpLmQWJrNa629ZIeQ8ZvMAi13kvrjK6Mg=="; }; }; + "es6-promisify-6.1.0" = { + name = "es6-promisify"; + packageName = "es6-promisify"; + version = "6.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/es6-promisify/-/es6-promisify-6.1.0.tgz"; + sha512 = "jCsk2fpfEFusVv1MDkF4Uf0hAzIKNDMgR6LyOIw6a3jwkN1sCgWzuwgnsHY9YSQ8n8P31HoncvE0LC44cpWTrw=="; + }; + }; "es6-set-0.1.5" = { name = "es6-set"; packageName = "es6-set"; @@ -15666,15 +15774,6 @@ let sha1 = "7d02878c8e9bf7916b88836d5ac122b42f151932"; }; }; - "eslint-plugin-vue-5.2.3" = { - name = "eslint-plugin-vue"; - packageName = "eslint-plugin-vue"; - version = "5.2.3"; - src = fetchurl { - url = "https://registry.npmjs.org/eslint-plugin-vue/-/eslint-plugin-vue-5.2.3.tgz"; - sha512 = "mGwMqbbJf0+VvpGR5Lllq0PMxvTdrZ/ZPjmhkacrCHbubJeJOt+T6E3HUzAifa2Mxi7RSdJfC9HFpOeSYVMMIw=="; - }; - }; "eslint-plugin-vue-6.2.2" = { name = "eslint-plugin-vue"; packageName = "eslint-plugin-vue"; @@ -15765,15 +15864,6 @@ let sha512 = "yAcIQxtmMiB/jL32dzEp2enBeidsB7xWPLNiw3IIkpVds1P+h7qF9YwJq1yUNzp2OKXgAprs4F61ih66UsoD1A=="; }; }; - "espree-4.1.0" = { - name = "espree"; - packageName = "espree"; - version = "4.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/espree/-/espree-4.1.0.tgz"; - sha512 = "I5BycZW6FCVIub93TeVY1s7vjhP9CY6cXCznIRfiig7nRviKZYdRnj/sHEWC6A7WE9RDWOFq9+7OsWSYz8qv2w=="; - }; - }; "espree-5.0.1" = { name = "espree"; packageName = "espree"; @@ -15846,13 +15936,13 @@ let sha1 = "633acdb40d9bd4db8a1c1d68c06a942959fad2b0"; }; }; - "esquery-1.1.0" = { + "esquery-1.2.0" = { name = "esquery"; packageName = "esquery"; - version = "1.1.0"; + version = "1.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/esquery/-/esquery-1.1.0.tgz"; - sha512 = "MxYW9xKmROWF672KqjO75sszsA8Mxhw06YFeS5VHlB98KDHbOSurm3ArsjO60Eaf3QmGMCP1yn+0JQkNLo/97Q=="; + url = "https://registry.npmjs.org/esquery/-/esquery-1.2.0.tgz"; + sha512 = "weltsSqdeWIX9G2qQZz7KlTRJdkkOCTPgLYJUz1Hacf48R4YOwGPHO3+ORfWedqJKbq5WQmsgK90n+pFLIKt/Q=="; }; }; "esrecurse-4.2.1" = { @@ -15918,6 +16008,15 @@ let sha512 = "39nnKffWz8xN1BU/2c79n9nB9HDzo0niYUqx6xyqUnyoAnQyyWpOTdZEeiCch8BBu515t4wp9ZmgVfVhn9EBpw=="; }; }; + "estraverse-5.0.0" = { + name = "estraverse"; + packageName = "estraverse"; + version = "5.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/estraverse/-/estraverse-5.0.0.tgz"; + sha512 = "j3acdrMzqrxmJTNj5dbr1YbjacrYgAxVMeF0gK16E3j494mOe7xygM/ZLIguEQ0ETwAg2hlJCtHRGav+y0Ny5A=="; + }; + }; "estree-walker-0.6.1" = { name = "estree-walker"; packageName = "estree-walker"; @@ -16296,6 +16395,15 @@ let sha512 = "8iA79xD3uAch729dUG8xaaBBFGaEa0wdD2VkYLFHwlqosEj/jT66AzcreRDSgV7ehnNLBW2WR5jIXwGKjVdTLg=="; }; }; + "executing-npm-path-1.0.0" = { + name = "executing-npm-path"; + packageName = "executing-npm-path"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/executing-npm-path/-/executing-npm-path-1.0.0.tgz"; + sha512 = "d/dZlFCLkKm8nwdzpfQ7JBL2BISg4Fu0bVpZ5nacuT3e6DIxYVb+8tx0eQ+jxquvV/8I+VjJ9g6aEAqjukogkw=="; + }; + }; "exit-0.1.2" = { name = "exit"; packageName = "exit"; @@ -16980,6 +17088,15 @@ let sha512 = "lUGBnIamTAwk4znq5BcqsDaxSmZ9nDVJaij6NvRt/Tg4R69gERA+otPKbS86ROw9nxVMw2/mp1fnaiWqbs6Sdg=="; }; }; + "feint-1.0.3" = { + name = "feint"; + packageName = "feint"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/feint/-/feint-1.0.3.tgz"; + sha512 = "BY1jwDlOx4uA9rtn2H9bZSuHT7yyOtSRDVwUwprRpRXvpm1F73gSGuHznEu50lT6epscULOknphOprG9ljoARg=="; + }; + }; "fields-0.1.24" = { name = "fields"; packageName = "fields"; @@ -16998,13 +17115,13 @@ let sha1 = "bf42d87c0ad07b00d0949d12388f6289606ece34"; }; }; - "figgy-pudding-3.5.1" = { + "figgy-pudding-3.5.2" = { name = "figgy-pudding"; packageName = "figgy-pudding"; - version = "3.5.1"; + version = "3.5.2"; src = fetchurl { - url = "https://registry.npmjs.org/figgy-pudding/-/figgy-pudding-3.5.1.tgz"; - sha512 = "vNKxJHTEKNThjfrdJwHc7brvM6eVevuO5nTj6ez8ZQ1qbXTvGthucRF7S4vf2cr71QVnT70V34v0S1DyQsti0w=="; + url = "https://registry.npmjs.org/figgy-pudding/-/figgy-pudding-3.5.2.tgz"; + sha512 = "0btnI/H8f2pavGMN8w40mlSKOfTK2SVJmBfBeVIj3kNw0swwgzyRq0d5TJVOwodFmtvpPeWPN/MCcfuWF0Ezbw=="; }; }; "figures-1.7.0" = { @@ -17052,6 +17169,24 @@ let sha512 = "bCg29ictuBaKUwwArK4ouCaqDgLZcysCFLmM/Yn/FDoqndh/9vNuQfXRDvTuXKLxfD/JtZQGKFT8MGcJBK644g=="; }; }; + "file-exists-dazinatorfork-1.0.2" = { + name = "file-exists-dazinatorfork"; + packageName = "file-exists-dazinatorfork"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/file-exists-dazinatorfork/-/file-exists-dazinatorfork-1.0.2.tgz"; + sha512 = "r70c72ln2YHzQINNfxDp02hAhbGkt1HffZ+Du8oetWDLjDtFja/Lm10lUaSh9e+wD+7VDvPee0b0C9SAy8pWZg=="; + }; + }; + "file-to-npm-cache-0.1.0" = { + name = "file-to-npm-cache"; + packageName = "file-to-npm-cache"; + version = "0.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/file-to-npm-cache/-/file-to-npm-cache-0.1.0.tgz"; + sha512 = "2/aq3BD1pkd6b4pafmTaO8EzRCiQKfFmJxg+zKAdDMAcqqJMC0nQYcuCU29say0ZTzXxafKXcJB50N+yb0WIoQ=="; + }; + }; "file-type-10.11.0" = { name = "file-type"; packageName = "file-type"; @@ -17178,6 +17313,15 @@ let sha512 = "7KjR1vv6qnicaPMi1iiTcI85CyYwRO/PSFCu6SvqL8jN2Wjt/NIYQTFtFs7fSDCYOstUkEWIQGFUg5YZQfjlcg=="; }; }; + "filesize-4.2.1" = { + name = "filesize"; + packageName = "filesize"; + version = "4.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/filesize/-/filesize-4.2.1.tgz"; + sha512 = "bP82Hi8VRZX/TUBKfE24iiUGsB/sfm2WUrwTQyAzQrhO3V9IhcBBNBXMyzLY5orACxRyYJ3d2HeRVX+eFv4lmA=="; + }; + }; "filestream-5.0.0" = { name = "filestream"; packageName = "filestream"; @@ -17187,6 +17331,15 @@ let sha512 = "5H3RqSaJp12THfZiNWodYM7TiKfQvrpX+EIOrB1XvCceTys4yvfEIl8wDp+/yI8qj6Bxym8m0NYWwVXDAet/+A=="; }; }; + "filing-cabinet-2.5.1" = { + name = "filing-cabinet"; + packageName = "filing-cabinet"; + version = "2.5.1"; + src = fetchurl { + url = "https://registry.npmjs.org/filing-cabinet/-/filing-cabinet-2.5.1.tgz"; + sha512 = "GWOdObzou2L0HrJUk8MpJa01q0ZOwuTwTssM2+P+ABJWEGlVWd6ueEatANFdin94/3rdkVSdqpH14VqCNqp3RA=="; + }; + }; "fill-range-2.2.4" = { name = "fill-range"; packageName = "fill-range"; @@ -17250,6 +17403,15 @@ let sha1 = "4b73f1ff9e56ad91b76e716407fe5ffe6554bb8c"; }; }; + "find-0.3.0" = { + name = "find"; + packageName = "find"; + version = "0.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/find/-/find-0.3.0.tgz"; + sha512 = "iSd+O4OEYV/I36Zl8MdYJO0xD82wH528SaCieTVHhclgiYNe9y+yPKSwK+A7/WsmHL1EZ+pYUJBXWTL5qofksw=="; + }; + }; "find-cache-dir-2.1.0" = { name = "find-cache-dir"; packageName = "find-cache-dir"; @@ -17268,6 +17430,24 @@ let sha1 = "675d358b2ca3892d795a1ab47232f8b6e2e0dde4"; }; }; + "find-pkg-dir-1.0.1" = { + name = "find-pkg-dir"; + packageName = "find-pkg-dir"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/find-pkg-dir/-/find-pkg-dir-1.0.1.tgz"; + sha512 = "pIXIrZshXst3hpg5nXDYALHlN4ikh4IwoM0QRnMnYIALChamvpPCJS1Mpwp27GpXXTL/647LDS4JkH1yfAKctw=="; + }; + }; + "find-process-1.4.3" = { + name = "find-process"; + packageName = "find-process"; + version = "1.4.3"; + src = fetchurl { + url = "https://registry.npmjs.org/find-process/-/find-process-1.4.3.tgz"; + sha512 = "+IA+AUsQCf3uucawyTwMWcY+2M3FXq3BRvw3S+j5Jvydjk31f/+NPWpYZOJs+JUs2GvxH4Yfr6Wham0ZtRLlPA=="; + }; + }; "find-requires-1.0.0" = { name = "find-requires"; packageName = "find-requires"; @@ -17529,6 +17709,15 @@ let sha1 = "554440766da0a0d603999f433453f6c2fc6a75c1"; }; }; + "flatten-1.0.3" = { + name = "flatten"; + packageName = "flatten"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/flatten/-/flatten-1.0.3.tgz"; + sha512 = "dVsPA/UwQ8+2uoFe5GHtiBMu48dWLTdsuEd7CKGlZlD78r1TTWBvDuFaFGKCo/ZfEr95Uk56vZoX86OsHkUeIg=="; + }; + }; "flow-bin-0.118.0" = { name = "flow-bin"; packageName = "flow-bin"; @@ -17538,13 +17727,13 @@ let sha512 = "jlbUu0XkbpXeXhan5xyTqVK1jmEKNxE8hpzznI3TThHTr76GiFwK0iRzhDo4KNy+S9h/KxHaqVhTP86vA6wHCg=="; }; }; - "flow-parser-0.120.1" = { + "flow-parser-0.121.0" = { name = "flow-parser"; packageName = "flow-parser"; - version = "0.120.1"; + version = "0.121.0"; src = fetchurl { - url = "https://registry.npmjs.org/flow-parser/-/flow-parser-0.120.1.tgz"; - sha512 = "t5y9QoOegJuY+LCIjh0p6SGF7ItsxG5ycQApTSqWloutUZQ2gC0f6wMu91dab0/SSj2vH41bu5pDTLuvtP49ng=="; + url = "https://registry.npmjs.org/flow-parser/-/flow-parser-0.121.0.tgz"; + sha512 = "1gIBiWJNR0tKUNv8gZuk7l9rVX06OuLzY9AoGio7y/JT4V1IZErEMEq2TJS+PFcw/y0RshZ1J/27VfK1UQzYVg=="; }; }; "fluent-ffmpeg-2.1.2" = { @@ -18141,6 +18330,15 @@ let sha512 = "yhlQgA6mnOJUKOsRUFsgJdQCvkKhcz8tlZG5HBQfReYZy46OwLcY+Zia0mtdHsOo9y/hP+CxMN0TU9QxoOtG4g=="; }; }; + "fs-extra-9.0.0" = { + name = "fs-extra"; + packageName = "fs-extra"; + version = "9.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/fs-extra/-/fs-extra-9.0.0.tgz"; + sha512 = "pmEYSk3vYsG/bF651KPUXZ+hvjpgWYw/Gc7W9NFUe3ZVLczKKWIij3IKpOrQcdw4TILtibFslZ0UmR8Vvzig4g=="; + }; + }; "fs-minipass-1.2.7" = { name = "fs-minipass"; packageName = "fs-minipass"; @@ -18213,13 +18411,13 @@ let sha512 = "fwfd9MBI/fnXtR/ClVTyeuPXJ+oI5WNyXvBQPmc4btgqLYTKOuBRTRUVjmVpDUri0C88HLwMlc5ESg48fEAGjw=="; }; }; - "fsevents-1.2.11" = { + "fsevents-1.2.12" = { name = "fsevents"; packageName = "fsevents"; - version = "1.2.11"; + version = "1.2.12"; src = fetchurl { - url = "https://registry.npmjs.org/fsevents/-/fsevents-1.2.11.tgz"; - sha512 = "+ux3lx6peh0BpvY0JebGyZoiR4D+oYzdPZMKJwkZ+sFkNJzpL7tXc/wehS49gUAxg3tmMHPHZkA8JU2rhhgDHw=="; + url = "https://registry.npmjs.org/fsevents/-/fsevents-1.2.12.tgz"; + sha512 = "Ggd/Ktt7E7I8pxZRbGIs7vwqAPscSESMrCSkx2FtWeqmheJgCo2R74fTsZFCifr0VTPwqRpPv17+6b8Zp7th0Q=="; }; }; "fsevents-2.1.2" = { @@ -18447,6 +18645,15 @@ let sha512 = "r8EC6NO1sngH/zdD9fiRDLdcgnbayXah+mLgManTaIZJqEC1MZstmnox8KpnI2/fxQwrp5OpCOYWLp4rBl4Jcg=="; }; }; + "get-amd-module-type-3.0.0" = { + name = "get-amd-module-type"; + packageName = "get-amd-module-type"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/get-amd-module-type/-/get-amd-module-type-3.0.0.tgz"; + sha512 = "99Q7COuACPfVt18zH9N4VAMyb81S6TUgJm2NgV6ERtkh9VIkAaByZkW530wl3lLN5KTtSrK9jVLxYsoP5hQKsw=="; + }; + }; "get-assigned-identifiers-1.2.0" = { name = "get-assigned-identifiers"; packageName = "get-assigned-identifiers"; @@ -18492,6 +18699,15 @@ let sha1 = "ead774abee72e20409433a066366023dd6887a41"; }; }; + "get-own-enumerable-property-symbols-3.0.2" = { + name = "get-own-enumerable-property-symbols"; + packageName = "get-own-enumerable-property-symbols"; + version = "3.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/get-own-enumerable-property-symbols/-/get-own-enumerable-property-symbols-3.0.2.tgz"; + sha512 = "I0UBV/XOz1XkIJHEUDMZAbzCThU/H8DxmSfmdGcKPnVhu2VfFqr34jr9777IyaTYvxjedWhqVIilEDsCdP5G6g=="; + }; + }; "get-pkg-repo-1.4.0" = { name = "get-pkg-repo"; packageName = "get-pkg-repo"; @@ -18942,13 +19158,13 @@ let sha1 = "9e6af6299d8d3bd2bd40430832bd113df906c5ae"; }; }; - "glob-parent-5.1.0" = { + "glob-parent-5.1.1" = { name = "glob-parent"; packageName = "glob-parent"; - version = "5.1.0"; + version = "5.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/glob-parent/-/glob-parent-5.1.0.tgz"; - sha512 = "qjtRgnIVmOfnKUE3NJAQEdk+lKrxfw8t5ke7SXtfMTHcjsBfOfWXCQfdb30zfDoZQ2IRSIiidmjtbHZPZ++Ihw=="; + url = "https://registry.npmjs.org/glob-parent/-/glob-parent-5.1.1.tgz"; + sha512 = "FnI+VGOpnlGHWZxthPGR+QhR78fuiK0sNLkHQv+bL9fQi57lNNdquIbna/WrfROrolq8GK5Ek6BiMwqL/voRYQ=="; }; }; "glob-stream-3.1.18" = { @@ -20194,13 +20410,13 @@ let sha512 = "ghHup2voGfgFoHMGnaLHOjbYFACKrRh9KFttdCzMCbFoBMJXiNi2+XTrPP8+q6cDJM/RSqlCfVWrjp1H201rZg=="; }; }; - "hast-util-is-body-ok-link-1.0.2" = { + "hast-util-is-body-ok-link-1.0.3" = { name = "hast-util-is-body-ok-link"; packageName = "hast-util-is-body-ok-link"; - version = "1.0.2"; + version = "1.0.3"; src = fetchurl { - url = "https://registry.npmjs.org/hast-util-is-body-ok-link/-/hast-util-is-body-ok-link-1.0.2.tgz"; - sha512 = "eSxO9rgtb7dfKxNa8NAFS3VEYWHXnJWVsoH/Z4jSsq1J2i4H1GkdJ43kXv++xuambrtI5XQwcAx6jeZVMjoBMQ=="; + url = "https://registry.npmjs.org/hast-util-is-body-ok-link/-/hast-util-is-body-ok-link-1.0.3.tgz"; + sha512 = "NB8jW4iqT+iVld2oCjSk0T2S2FyR86rDZ7nKHx3WNf/WX16fjjdfoog6T+YeJFsPzszVKsNlVJL+k5c4asAHog=="; }; }; "hast-util-is-element-1.0.4" = { @@ -20221,13 +20437,13 @@ let sha512 = "gW3sxfynIvZApL4L07wryYF4+C9VvH3AUi7LAnVXV4MneGEgwOByXvFo18BgmTWnm7oHAe874jKbIB1YhHSIzA=="; }; }; - "hast-util-to-string-1.0.2" = { + "hast-util-to-string-1.0.3" = { name = "hast-util-to-string"; packageName = "hast-util-to-string"; - version = "1.0.2"; + version = "1.0.3"; src = fetchurl { - url = "https://registry.npmjs.org/hast-util-to-string/-/hast-util-to-string-1.0.2.tgz"; - sha512 = "fQNr0n5KJmZW1TmBfXbc4DO0ucZmseUw3T6K4PDsUUTMtTGGLZMUYRB8mOKgPgtw7rtICdxxpRQZmWwo8KxlOA=="; + url = "https://registry.npmjs.org/hast-util-to-string/-/hast-util-to-string-1.0.3.tgz"; + sha512 = "3lDgDE5OdpTfP3aFeKRWEwdIZ4vprztvp+AoD+RhF7uGOBs1yBDWZFadxnjcUV4KCoI3vB9A7gdFO98hEXA90w=="; }; }; "hast-util-whitespace-1.0.4" = { @@ -20572,13 +20788,13 @@ let sha512 = "uE/TxKuyNIcx44cIWnjr/rfIATDH7ZaOMmstu0CwhFG1Dunhlp4OC6/NMbhiwoq5BpW0ubi303qnEk/PZj614w=="; }; }; - "html-whitespace-sensitive-tag-names-1.0.1" = { + "html-whitespace-sensitive-tag-names-1.0.2" = { name = "html-whitespace-sensitive-tag-names"; packageName = "html-whitespace-sensitive-tag-names"; - version = "1.0.1"; + version = "1.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/html-whitespace-sensitive-tag-names/-/html-whitespace-sensitive-tag-names-1.0.1.tgz"; - sha512 = "TMdAWVry7Ld0k2sLqpHkWsFAHmU+VZZq/nR4bfwfxThD8q3ibhrpRTywyQkEiunYiZXmJ6gRcJiLbZm+jbQPgQ=="; + url = "https://registry.npmjs.org/html-whitespace-sensitive-tag-names/-/html-whitespace-sensitive-tag-names-1.0.2.tgz"; + sha512 = "9jCcAq9ZsjUkZjNFDvxalDPhktOijpfzLyzBcqMLOFSbtcDNrPlKDvZeH7KdEbP7C6OjPpIdDMMPm0oq2Dpk0A=="; }; }; "htmlescape-1.1.1" = { @@ -21293,6 +21509,15 @@ let sha512 = "b6s04m3O+s3CGSbqDIyP4R6aAwAeYlVq9+WUWep6iHa8ETRf9yei1U48C5MmfJmV9AiLYYBKPMq/W+/WRpQmCQ=="; }; }; + "import-package-1.0.0" = { + name = "import-package"; + packageName = "import-package"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/import-package/-/import-package-1.0.0.tgz"; + sha512 = "EEDT2ucOWI/9z/h2mLTRkkusM30/pxSoBT6YvomYpEb/UGll6wOvdFagYraCSBHD+dZSKoVFNYCAgC3V7Nvf1Q=="; + }; + }; "imurmurhash-0.1.4" = { name = "imurmurhash"; packageName = "imurmurhash"; @@ -21302,13 +21527,13 @@ let sha1 = "9218b9b2b928a238b13dc4fb6b6d576f231453ea"; }; }; - "in-publish-2.0.0" = { + "in-publish-2.0.1" = { name = "in-publish"; packageName = "in-publish"; - version = "2.0.0"; + version = "2.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/in-publish/-/in-publish-2.0.0.tgz"; - sha1 = "e20ff5e3a2afc2690320b6dc552682a9c7fadf51"; + url = "https://registry.npmjs.org/in-publish/-/in-publish-2.0.1.tgz"; + sha512 = "oDM0kUSNFC31ShNxHKUyfZKy8ZeXZBWMjMdZHKLOk13uvT27VTL/QzRGfRUcevJhpkZAvlhPYuXkF7eNWrtyxQ=="; }; }; "increment-buffer-1.0.1" = { @@ -21698,6 +21923,24 @@ let sha512 = "MAQUJuIo7Xqk8EVNP+6d3CKq9c80hi4tjIbIAT6lmGW9W6WzlHiu9PS8uSuUYU+Do+j1baiFp3H25XEVxDIG2g=="; }; }; + "install-purescript-0.7.0" = { + name = "install-purescript"; + packageName = "install-purescript"; + version = "0.7.0"; + src = fetchurl { + url = "https://registry.npmjs.org/install-purescript/-/install-purescript-0.7.0.tgz"; + sha512 = "AOmIq8rPEOLn5Bo8RQngvDXWeo6USKIt+28UhcAmQJNvj63MqdNBIQRTgNyypbsniv7d7VWjz53pw2+kTgh3mg=="; + }; + }; + "install-purescript-cli-0.4.2" = { + name = "install-purescript-cli"; + packageName = "install-purescript-cli"; + version = "0.4.2"; + src = fetchurl { + url = "https://registry.npmjs.org/install-purescript-cli/-/install-purescript-cli-0.4.2.tgz"; + sha512 = "y62GvwsMksz7aSJQsK2pPelmBByp0eDgpI+uFheEU05wpekM6WtCgq7WkTAc+Ar/nt+7Y5lYzGOqSOWnGAEIBQ=="; + }; + }; "int53-1.0.0" = { name = "int53"; packageName = "int53"; @@ -22877,6 +23120,15 @@ let sha512 = "Kw/ReK0iqwKeu0MITLFuj0jbPAmEiOsIwyIXvvbfa6QfmN9pkD1M+8pdk7Rl/dTKbH34/XBFMbgD4iMJhLQbGA=="; }; }; + "is-relative-path-1.0.2" = { + name = "is-relative-path"; + packageName = "is-relative-path"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/is-relative-path/-/is-relative-path-1.0.2.tgz"; + sha1 = "091b46a0d67c1ed0fe85f1f8cfdde006bb251d46"; + }; + }; "is-relative-url-3.0.0" = { name = "is-relative-url"; packageName = "is-relative-url"; @@ -23228,13 +23480,13 @@ let sha512 = "8cJBL5tTd2OS0dM4jz07wQd5g0dCCqIhUxPIGtZfa5L6hWlvV5MHTITy/DBAsF+Oe2LS1X3krBUhNwaGUWpWxw=="; }; }; - "isbinaryfile-4.0.4" = { + "isbinaryfile-4.0.5" = { name = "isbinaryfile"; packageName = "isbinaryfile"; - version = "4.0.4"; + version = "4.0.5"; src = fetchurl { - url = "https://registry.npmjs.org/isbinaryfile/-/isbinaryfile-4.0.4.tgz"; - sha512 = "pEutbN134CzcjlLS1myKX/uxNjwU5eBVSprvkpv3+3dqhBHUZLIWJQowC40w5c0Zf19vBY8mrZl88y5J4RAPbQ=="; + url = "https://registry.npmjs.org/isbinaryfile/-/isbinaryfile-4.0.5.tgz"; + sha512 = "Jvz0gpTh1AILHMCBUyqq7xv1ZOQrxTDwyp1/QUq1xFpOBvp4AH5uEobPePJht8KnBGqQIH7We6OR73mXsjG0cA=="; }; }; "isemail-3.2.0" = { @@ -23552,13 +23804,13 @@ let sha1 = "06d4912255093419477d425633606e0e90782967"; }; }; - "joplin-turndown-4.0.23" = { + "joplin-turndown-4.0.24" = { name = "joplin-turndown"; packageName = "joplin-turndown"; - version = "4.0.23"; + version = "4.0.24"; src = fetchurl { - url = "https://registry.npmjs.org/joplin-turndown/-/joplin-turndown-4.0.23.tgz"; - sha512 = "Dh93R7G/S/KRbOu4/+FIxoUcUDcoUL4QDsqGhperOi/cUxUeg8fngrmEzdP8kEpQzqm5+8jkq9Cc1w6695owpQ=="; + url = "https://registry.npmjs.org/joplin-turndown/-/joplin-turndown-4.0.24.tgz"; + sha512 = "mKd2rAFzJKnhTVjEpHomG+T01//uz5rXVSAOYRh3/JKXpY7QUhVp8jCmFfO+kaadrLABTz04mvTmyyoOadxdTA=="; }; }; "joplin-turndown-plugin-gfm-1.0.12" = { @@ -23606,13 +23858,13 @@ let sha1 = "d6be2e4c377494e2378b1cae2920a91d1182d8c4"; }; }; - "jquery.terminal-2.15.0" = { + "jquery.terminal-2.15.2" = { name = "jquery.terminal"; packageName = "jquery.terminal"; - version = "2.15.0"; + version = "2.15.2"; src = fetchurl { - url = "https://registry.npmjs.org/jquery.terminal/-/jquery.terminal-2.15.0.tgz"; - sha512 = "Dp8ahqK1lcphpmx9veb+S/ZxEt5mk4rHcVTbslCgngIiqH4LX7VtnSIQ2Lm5O0y/lp4eMPaNSTgPniCziF6ACQ=="; + url = "https://registry.npmjs.org/jquery.terminal/-/jquery.terminal-2.15.2.tgz"; + sha512 = "/G5L/laZLLO0tEQ+a94mLnvDXB5+PbC9IuZB+ikbw4E7W3GQkRpa0I15Z3xA+WbOaVZj+hEtPcKyyQLcKdjULQ=="; }; }; "js-base64-2.5.2" = { @@ -23777,6 +24029,15 @@ let sha512 = "O901mfJSuTdwU2w3Sn+74T+RnDVP+FuV5fH8tcPWyqrseRAb0s5xOtPgCFiPOtLcyK7CLIJwPyD83ZqQWvA5ng=="; }; }; + "jsdom-15.2.1" = { + name = "jsdom"; + packageName = "jsdom"; + version = "15.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/jsdom/-/jsdom-15.2.1.tgz"; + sha512 = "fAl1W0/7T2G5vURSyxBzrJ1LSdQn6Tr5UX/xD4PXDx/PDgwygedfW6El/KIj3xJ7FU61TTYnc/l/B7P49Eqt6g=="; + }; + }; "jsdom-7.2.2" = { name = "jsdom"; packageName = "jsdom"; @@ -24056,13 +24317,13 @@ let sha512 = "8Mh9h6xViijj36g7Dxi+Y4S6hNGV96vcJZr/SrlHh1LR/pEn/8j/+qIBbs44YKl69Lrfctp4QD+AdWLTMqEZAQ=="; }; }; - "json5-2.1.1" = { + "json5-2.1.2" = { name = "json5"; packageName = "json5"; - version = "2.1.1"; + version = "2.1.2"; src = fetchurl { - url = "https://registry.npmjs.org/json5/-/json5-2.1.1.tgz"; - sha512 = "l+3HXD0GEI3huGq1njuqtzYK8OYJyXMkOLtQ53pjWh89tvWS2h6l+1zMkYWqlb57+SiQodKZyvMEFb2X+KrFhQ=="; + url = "https://registry.npmjs.org/json5/-/json5-2.1.2.tgz"; + sha512 = "MoUOQ4WdiN3yxhm7NEVJSJrieAo5hNSLQ5sj05OTRHPL9HOBy8u4Bu88jsC1jvqAdN+E1bJmsUcZH+1HQxliqQ=="; }; }; "jsonata-1.8.1" = { @@ -24128,6 +24389,15 @@ let sha1 = "8771aae0799b64076b76640fca058f9c10e33ecb"; }; }; + "jsonfile-6.0.1" = { + name = "jsonfile"; + packageName = "jsonfile"; + version = "6.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/jsonfile/-/jsonfile-6.0.1.tgz"; + sha512 = "jR2b5v7d2vIOust+w3wtFKZIfpC2pnRmFAhAC/BuweZFQR8qZzxH1OyrQ10HmdVYiXWkYUqPVsz91cG7EL2FBg=="; + }; + }; "jsonify-0.0.0" = { name = "jsonify"; packageName = "jsonify"; @@ -25264,6 +25534,15 @@ let sha512 = "04PDPqSlsqIOaaaGZ+41vq5FejI9auqTInicFRndCBgE3bXG8D6W1I+mWhk+1nqbHmyhla/6BUrd5OSiHwKRXw=="; }; }; + "load-from-cwd-or-npm-3.0.4" = { + name = "load-from-cwd-or-npm"; + packageName = "load-from-cwd-or-npm"; + version = "3.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/load-from-cwd-or-npm/-/load-from-cwd-or-npm-3.0.4.tgz"; + sha512 = "tdDJgh1zVmxOV24gcj+AEagjTc30Jim9ywX2OxfABdOoTU4UK8b0B371ZNbpj27njP8LQ6U5FH1aNwC2+VRxQg=="; + }; + }; "load-ip-set-2.1.0" = { name = "load-ip-set"; packageName = "load-ip-set"; @@ -25318,6 +25597,15 @@ let sha512 = "dYB1lbwqHgPTrruy9glukCu8Ya9vzj6TMfouCtj2H/GuJ+8syioisgKTBPxnCi6m8K8jINKfTOxOHngFkUYqHw=="; }; }; + "load-request-from-cwd-or-npm-3.0.0" = { + name = "load-request-from-cwd-or-npm"; + packageName = "load-request-from-cwd-or-npm"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/load-request-from-cwd-or-npm/-/load-request-from-cwd-or-npm-3.0.0.tgz"; + sha512 = "UsYAoXQV3UeL75Rl5IppBGOcKK5iKpvWooUPUHdxcQg9IKIkfg6PWpBQ4EhoRxTioIqrz587Ur2+c2uB/KGpng=="; + }; + }; "loader-runner-2.4.0" = { name = "loader-runner"; packageName = "loader-runner"; @@ -27091,15 +27379,6 @@ let sha1 = "e01a5c9109f2af79660e4e8b9587790184f5a96c"; }; }; - "mamacro-0.0.3" = { - name = "mamacro"; - packageName = "mamacro"; - version = "0.0.3"; - src = fetchurl { - url = "https://registry.npmjs.org/mamacro/-/mamacro-0.0.3.tgz"; - sha512 = "qMEwh+UujcQ+kbz3T6V+wAmO2U8veoq2w+3wY8MquqwVA3jChfwY+Tk52GZKDfACEPjuZ7r2oJLejwpt8jtwTA=="; - }; - }; "map-age-cleaner-0.1.3" = { name = "map-age-cleaner"; packageName = "map-age-cleaner"; @@ -27604,6 +27883,15 @@ let sha512 = "iV3XNKw06j5Q7mi6h+9vbx23Tv7JkjEVgKHW4pimwyDGWm0OIQntJJ+u1C6mg6mK1EaTv42XQ7w76yuzH7M2cA=="; }; }; + "mdn-data-2.0.6" = { + name = "mdn-data"; + packageName = "mdn-data"; + version = "2.0.6"; + src = fetchurl { + url = "https://registry.npmjs.org/mdn-data/-/mdn-data-2.0.6.tgz"; + sha512 = "rQvjv71olwNHgiTbfPZFkJtjNMciWgswYeciZhtvWLO8bmX3TnhyA62I6sTWOyZssWHJJjY6/KiWwqQsWWsqOA=="; + }; + }; "mdns-js-0.5.0" = { name = "mdns-js"; packageName = "mdns-js"; @@ -27865,13 +28153,13 @@ let sha512 = "CbTqYU17ABaLefO8vCU153ZZlprKYWDljcndKKDCFcYQITzWCXZAVk4QMFZPgvzrnUQ3uItnIE/LoUOwrT15Ig=="; }; }; - "meow-6.0.1" = { + "meow-6.1.0" = { name = "meow"; packageName = "meow"; - version = "6.0.1"; + version = "6.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/meow/-/meow-6.0.1.tgz"; - sha512 = "kxGTFgT/b7/oSRSQsJ0qsT5IMU+bgZ1eAdSA3kIV7onkW0QWo/hL5RbGlMfvBjHJKPE1LaPX0kdecYFiqYWjUw=="; + url = "https://registry.npmjs.org/meow/-/meow-6.1.0.tgz"; + sha512 = "iIAoeI01v6pmSfObAAWFoITAA4GgiT45m4SmJgoxtZfvI0fyZwhV4d0lTwiUXvAKIPlma05Feb2Xngl52Mj5Cg=="; }; }; "merge-1.2.1" = { @@ -28558,6 +28846,24 @@ let sha1 = "30057438eac6cf7f8c4767f38648d6697d75c903"; }; }; + "mkdirp-0.5.3" = { + name = "mkdirp"; + packageName = "mkdirp"; + version = "0.5.3"; + src = fetchurl { + url = "https://registry.npmjs.org/mkdirp/-/mkdirp-0.5.3.tgz"; + sha512 = "P+2gwrFqx8lhew375MQHHeTlY8AuOJSrGf0R5ddkEndUkmwpgUob/vQuBD1V22/Cw1/lJr4x+EjllSezBThzBg=="; + }; + }; + "mkdirp-0.5.4" = { + name = "mkdirp"; + packageName = "mkdirp"; + version = "0.5.4"; + src = fetchurl { + url = "https://registry.npmjs.org/mkdirp/-/mkdirp-0.5.4.tgz"; + sha512 = "iG9AK/dJLtJ0XNgTuDbSyNS3zECqDlAhnQW4CsNxBG3LQJBbHmRX1egw39DmtOdCAqY+dKXV+sgPgilNWUKMVw=="; + }; + }; "mkdirp-1.0.3" = { name = "mkdirp"; packageName = "mkdirp"; @@ -28621,6 +28927,15 @@ let sha512 = "A/78XjoX2EmNvppVWEhM2oGk3x4lLxnkEA4jTbaK97QKSDjkIoOsKQlfylt/d3kKKi596Qy3NP5XrXJ6fZIC9Q=="; }; }; + "module-definition-3.3.0" = { + name = "module-definition"; + packageName = "module-definition"; + version = "3.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/module-definition/-/module-definition-3.3.0.tgz"; + sha512 = "HTplA9xwDzH67XJFC1YvZMUElWJD28DV0dUq7lhTs+JKJamUOWA/CcYWSlhW5amJO66uWtY7XdltT+LfX0wIVg=="; + }; + }; "module-deps-6.2.2" = { name = "module-deps"; packageName = "module-deps"; @@ -28630,6 +28945,15 @@ let sha512 = "a9y6yDv5u5I4A+IPHTnqFxcaKr4p50/zxTjcQJaX2ws9tN/W6J6YXnEKhqRyPhl494dkcxx951onSKVezmI+3w=="; }; }; + "module-lookup-amd-6.2.0" = { + name = "module-lookup-amd"; + packageName = "module-lookup-amd"; + version = "6.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/module-lookup-amd/-/module-lookup-amd-6.2.0.tgz"; + sha512 = "uxHCj5Pw9psZiC1znjU2qPsubt6haCSsN9m7xmIdoTciEgfxUkE1vhtDvjHPuOXEZrVJhjKgkmkP+w73rRuelQ=="; + }; + }; "mold-source-map-0.4.0" = { name = "mold-source-map"; packageName = "mold-source-map"; @@ -28702,13 +29026,13 @@ let sha512 = "IrhznRheWtDcT/TEL3cqaT4UJOqc5G3K8TnGq29PRXZil+sWGPkcM6SHVUZVirTKFKceuCadfyDMjmRoXCN21A=="; }; }; - "morgan-1.9.1" = { + "morgan-1.10.0" = { name = "morgan"; packageName = "morgan"; - version = "1.9.1"; + version = "1.10.0"; src = fetchurl { - url = "https://registry.npmjs.org/morgan/-/morgan-1.9.1.tgz"; - sha512 = "HQStPIV4y3afTiCYVxirakhlCfGkI161c76kKFca7Fk1JusM//Qeo1ej2XaMniiNeaZklMVrh3vTtIzpzwbpmA=="; + url = "https://registry.npmjs.org/morgan/-/morgan-1.10.0.tgz"; + sha512 = "AbegBVI4sh6El+1gNwvD5YIck7nSA36weD7xvIxG4in80j/UoK8AEGaWnnz8v1GxonMCltmlNs5ZKbGvl9b1XQ=="; }; }; "mout-0.5.0" = { @@ -29570,6 +29894,15 @@ let sha512 = "+T6UtYItDTE1L30g/nLRjP55dFlvldrzCRsn4CrcNHIbhg5JUe0hnOx1DHFViysUC7I1cevBQVjdGJ9ZftY9DA=="; }; }; + "neat-stack-1.0.1" = { + name = "neat-stack"; + packageName = "neat-stack"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/neat-stack/-/neat-stack-1.0.1.tgz"; + sha512 = "nl0eft4etsbFowZVP+1lNqZsNKb2SIC+PnAr/ODBln6RNVaJh0YYu8P3j8Iuh4XeIAgyWn3xCSizbTobRjocIA=="; + }; + }; "neat-tasks-1.1.1" = { name = "neat-tasks"; packageName = "neat-tasks"; @@ -30184,6 +30517,15 @@ let sha512 = "hwaTaFPUwNKns5qXwGJpLQM3Z5zRluYeAxpYy1L8fWmWdT/DjLmsnW8/oGlSN8Vo4R28c2znfUoBUiB/RlPptw=="; }; }; + "node-source-walk-4.2.0" = { + name = "node-source-walk"; + packageName = "node-source-walk"; + version = "4.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/node-source-walk/-/node-source-walk-4.2.0.tgz"; + sha512 = "hPs/QMe6zS94f5+jG3kk9E7TNm4P2SulrKiLWMzKszBfNZvL/V6wseHlTd7IvfW0NZWqPtK3+9yYNr+3USGteA=="; + }; + }; "node-ssdp-2.9.1" = { name = "node-ssdp"; packageName = "node-ssdp"; @@ -30472,6 +30814,15 @@ let sha512 = "KGvQ0cB70AQfg107Xvs/Fbu+dGmZoTRJp2TaPwcwQm3/7PteUyN2BCgk8KBMPGBUXZdVwyWS8fDCGFygBm19UQ=="; }; }; + "npcache-1.0.2" = { + name = "npcache"; + packageName = "npcache"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/npcache/-/npcache-1.0.2.tgz"; + sha512 = "h42LqKZvSbykPoCyAwEKbJAXUpt1SZ7O8GvA0XRqb26VtWQuKLJtp+Rt4jqkq1Y+ZRErINdyeZ3Ijc5lDtqkSg=="; + }; + }; "npm-2.15.12" = { name = "npm"; packageName = "npm"; @@ -30490,6 +30841,51 @@ let sha512 = "gqkfgGePhTpAEgUsGEgcq1rqPXA+tv/aVBlgEzfXwA1yiUJF7xtEt3CtVwOjNYQOVknDk0F20w58Fnm3EtG0fA=="; }; }; + "npm-cache-env-2.0.0" = { + name = "npm-cache-env"; + packageName = "npm-cache-env"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/npm-cache-env/-/npm-cache-env-2.0.0.tgz"; + sha512 = "t5cz/NY4IPmiKBRFry3U3M8Ypwdx4LJ3w7te/49LWB2R4wPf5QAAGB1zNoH9IbGtC/wiOeE8b/HsoG2lLAOYaQ=="; + }; + }; + "npm-cache-path-2.0.0" = { + name = "npm-cache-path"; + packageName = "npm-cache-path"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/npm-cache-path/-/npm-cache-path-2.0.0.tgz"; + sha512 = "7OJzaenruC1PffVJ7onG0u4aTQLaykT4gF5n61j9fot58J4ppoglkrv+pY4BsFR2drPWb6vbEpJH7/Xviv7h+Q=="; + }; + }; + "npm-cli-dir-3.0.1" = { + name = "npm-cli-dir"; + packageName = "npm-cli-dir"; + version = "3.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/npm-cli-dir/-/npm-cli-dir-3.0.1.tgz"; + sha512 = "t9V9Gz/Q5a5KOSynLpKKnLxJzWLnHtAZvaLmNSbNeNR+qEpCmu/n5J74lyz4QQ/XIGEEYWIoVXR8scqbUWaMrQ=="; + }; + }; + "npm-cli-path-3.1.2" = { + name = "npm-cli-path"; + packageName = "npm-cli-path"; + version = "3.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/npm-cli-path/-/npm-cli-path-3.1.2.tgz"; + sha512 = "JdiFz8kpCf9WD01zRx5u29EP5UYjKp9osSVMflPkamlplgsuaagkwqY3JpzDySl/VDpGUva8q8YoSG6AatFkIg=="; + }; + }; + "npm-cli-version-1.0.0" = { + name = "npm-cli-version"; + packageName = "npm-cli-version"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/npm-cli-version/-/npm-cli-version-1.0.0.tgz"; + sha512 = "VqqnMzMfcZ0UZFDki7ZR8E4U8Pz7VbTOGSMk8KJbQ+oUlJlon8IXhb6BIdMJClRArHn216useYM1kvqgZmDvtQ=="; + }; + }; "npm-conf-1.1.3" = { name = "npm-conf"; packageName = "npm-conf"; @@ -30697,6 +31093,15 @@ let sha512 = "S48WzZW777zhNIrn7gxOlISNAqi9ZC/uQFnRdbeIHhZhCA6UqpkOT8T1G7BvfdgP4Er8gF4sUbaS0i7QvIfCWw=="; }; }; + "npm-version-compare-1.0.1" = { + name = "npm-version-compare"; + packageName = "npm-version-compare"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/npm-version-compare/-/npm-version-compare-1.0.1.tgz"; + sha512 = "X+/Oz2OkF6KzMqyFyNBV5MC1ScPxtl5bJTkUcIp9Bz5Wv2Yf8uqDIq+vu+/gy2DRb11Q2Z6jfHbav7Ux0t99JQ=="; + }; + }; "npm-which-3.0.1" = { name = "npm-which"; packageName = "npm-which"; @@ -31472,6 +31877,15 @@ let sha1 = "da3ea74686fa21a19a111c326e90eb15a0196686"; }; }; + "optional-0.1.4" = { + name = "optional"; + packageName = "optional"; + version = "0.1.4"; + src = fetchurl { + url = "https://registry.npmjs.org/optional/-/optional-0.1.4.tgz"; + sha512 = "gtvrrCfkE08wKcgXaVwQVgwEQ8vel2dc5DDBn9RLQZ3YtmtkBss6A2HY6BnJH4N/4Ku97Ri/SF8sNWE2225WJw=="; + }; + }; "optionator-0.3.0" = { name = "optionator"; packageName = "optionator"; @@ -32939,6 +33353,24 @@ let sha1 = "1d408b3fdb76923b9543d96fb4c9dfd535d9cb5d"; }; }; + "pause-fn-1.0.0" = { + name = "pause-fn"; + packageName = "pause-fn"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/pause-fn/-/pause-fn-1.0.0.tgz"; + sha512 = "23uUK11+go9zE7ij4Qh45HPvqanTt22viyNsHnWrRFVgcT5TV4MFtfMhx/wL2aMt0LYbqTsJJZgG3V4C57+NQw=="; + }; + }; + "pause-methods-1.0.0" = { + name = "pause-methods"; + packageName = "pause-methods"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/pause-methods/-/pause-methods-1.0.0.tgz"; + sha512 = "RA8T1+kt1wbD8p0y9/x0BuCMBwNRJzp08euseZ7ZSLGeBUSNHh4yLIsq9J+7fCSDAwnHTjQPSzShwoFnBj0QNQ=="; + }; + }; "pause-stream-0.0.11" = { name = "pause-stream"; packageName = "pause-stream"; @@ -33056,13 +33488,13 @@ let sha1 = "18de2f97e4bf7a9551ad7511942b5496f7aba660"; }; }; - "picomatch-2.2.1" = { + "picomatch-2.2.2" = { name = "picomatch"; packageName = "picomatch"; - version = "2.2.1"; + version = "2.2.2"; src = fetchurl { - url = "https://registry.npmjs.org/picomatch/-/picomatch-2.2.1.tgz"; - sha512 = "ISBaA8xQNmwELC7eOjqFKMESB2VIqt4PPDD0nsS95b/9dZXvVKOlz9keMSnoGGKcOHXfTvDD6WMaRoSc9UuhRA=="; + url = "https://registry.npmjs.org/picomatch/-/picomatch-2.2.2.tgz"; + sha512 = "q0M/9eZHzmr0AulXyPwNfZjtwZ/RBZlbN3K3CErVrk50T2ASYI7Bye0EvekFY3IP1Nt2DHu0re+V2ZHIpMkuWg=="; }; }; "picture-tuber-1.0.2" = { @@ -33272,6 +33704,15 @@ let sha1 = "b5418ef0439de5425fc4995042dced14fb2a84ff"; }; }; + "platform-name-1.0.0" = { + name = "platform-name"; + packageName = "platform-name"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/platform-name/-/platform-name-1.0.0.tgz"; + sha512 = "ZRbqJ30uRRKGKW2O1XnG/Ls1K/aBGlnyjq1Z0BbjqDPTNN+XZKFaugCsCm3/mq6XGR5DZNVdV75afpQEvNNY3Q=="; + }; + }; "playerui-1.3.0" = { name = "playerui"; packageName = "playerui"; @@ -33957,6 +34398,15 @@ let sha512 = "N7h4pG+Nnu5BEIzyeaaIYWs0LI5XC40OrRh5L60z0QjFsqGWcHcbkBvpe1WYpcIS9yQ8sOi/vIPt1ejQCrMVrg=="; }; }; + "postcss-values-parser-1.5.0" = { + name = "postcss-values-parser"; + packageName = "postcss-values-parser"; + version = "1.5.0"; + src = fetchurl { + url = "https://registry.npmjs.org/postcss-values-parser/-/postcss-values-parser-1.5.0.tgz"; + sha512 = "3M3p+2gMp0AH3da530TlX8kiO1nxdTnc3C6vr8dMxRLIlh8UYkz0/wcwptSXjhtx2Fr0TySI7a+BHDQ8NL7LaQ=="; + }; + }; "posthtml-0.11.6" = { name = "posthtml"; packageName = "posthtml"; @@ -34002,6 +34452,15 @@ let sha512 = "GV+nsUXuPW2p8Zy7SarF/2W/oiK8bFQgJcncoJ0d7kRpekEA0ftChjfEaF9/Y+QJEc/wFR7RAEa8lYByuUIe2g=="; }; }; + "precinct-6.2.0" = { + name = "precinct"; + packageName = "precinct"; + version = "6.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/precinct/-/precinct-6.2.0.tgz"; + sha512 = "BCAmnOxZzobF3H1/h/gq70pEyvX/BVLWCrzi8beFD22dqu5Z14qOghNUsI24Wg8oaTsGFcIjOGtFX5L9ttmjVg=="; + }; + }; "precond-0.2.3" = { name = "precond"; packageName = "precond"; @@ -34416,13 +34875,13 @@ let sha1 = "5f8a704ccdf5f2ac23996fcafe2b301bc2a8d0eb"; }; }; - "promisify-child-process-3.1.3" = { + "promisify-child-process-3.1.4" = { name = "promisify-child-process"; packageName = "promisify-child-process"; - version = "3.1.3"; + version = "3.1.4"; src = fetchurl { - url = "https://registry.npmjs.org/promisify-child-process/-/promisify-child-process-3.1.3.tgz"; - sha512 = "qVox3vW2hqbktVw+IN7YZ/kgGA+u426ekmiZxiofNe9O4GSewjROwRQ4MQ6IbvhpeYSLqiLS0kMn+FWCz6ENlg=="; + url = "https://registry.npmjs.org/promisify-child-process/-/promisify-child-process-3.1.4.tgz"; + sha512 = "tLifJs99E4oOXUz/dKQjRgdchfiepmYQzBVrcVX9BtUWi9aGJeGSf2KgXOWBW1JFsSYgLkl1Z9HRm8i0sf4cTg=="; }; }; "promisize-1.1.2" = { @@ -34452,13 +34911,13 @@ let sha1 = "8e57123c396ab988897fb327fd3aedc3e735e4fe"; }; }; - "prompts-2.3.1" = { + "prompts-2.3.2" = { name = "prompts"; packageName = "prompts"; - version = "2.3.1"; + version = "2.3.2"; src = fetchurl { - url = "https://registry.npmjs.org/prompts/-/prompts-2.3.1.tgz"; - sha512 = "qIP2lQyCwYbdzcqHIUi2HAxiWixhoM9OdLCWf8txXsapC/X9YdsCoeyRIXE/GP+Q0J37Q7+XN/MFqbUa7IzXNA=="; + url = "https://registry.npmjs.org/prompts/-/prompts-2.3.2.tgz"; + sha512 = "Q06uKs2CkNYVID0VqwfAl9mipo99zkBv/n2JtWY89Yxa3ZabWSrs0e2KTudKVa3peLUvYXMefDqIleLPVUBZMA=="; }; }; "promzard-0.3.0" = { @@ -34488,15 +34947,6 @@ let sha1 = "159fb06193d32003f4b3691dd2ec1a634aa80d1d"; }; }; - "proper-lockfile-3.2.0" = { - name = "proper-lockfile"; - packageName = "proper-lockfile"; - version = "3.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/proper-lockfile/-/proper-lockfile-3.2.0.tgz"; - sha512 = "iMghHHXv2bsxl6NchhEaFck8tvX3F9cknEEh1SUpguUOBjN7PAAW9BLzmbc1g/mCD1gY3EE2EABBHPJfFdHFmA=="; - }; - }; "properties-1.2.1" = { name = "properties"; packageName = "properties"; @@ -35415,6 +35865,15 @@ let sha512 = "hEJH0s8PXLY/cdXh66tNEQGndDrIKNqNC5xmrysZy3i5C3oEoLna7YAOad+7u125+zH1HNXUmGEkrhb3c2VriA=="; }; }; + "purescript-0.12.5" = { + name = "purescript"; + packageName = "purescript"; + version = "0.12.5"; + src = fetchurl { + url = "https://registry.npmjs.org/purescript/-/purescript-0.12.5.tgz"; + sha512 = "L0N0KrRgZm8pXYqT8Dc5m6BzjnYvkOaxx9Tms874NUivm8DYSs3oLtDrnNM8cVrjCCXCvS0g8l73CKNymaL6qw=="; + }; + }; "purgecss-1.4.2" = { name = "purgecss"; packageName = "purgecss"; @@ -35586,13 +36045,13 @@ let sha512 = "VCdBRNFTX1fyE7Nb6FYoURo/SPe62QCaAyzJvUjwRaIsc+NePBEniHlvxFmmX56+HZphIGtV0XeCirBtpDrTyQ=="; }; }; - "qs-6.9.1" = { + "qs-6.9.2" = { name = "qs"; packageName = "qs"; - version = "6.9.1"; + version = "6.9.2"; src = fetchurl { - url = "https://registry.npmjs.org/qs/-/qs-6.9.1.tgz"; - sha512 = "Cxm7/SS/y/Z3MHWSxXb8lIFqgqBowP5JMlTUFyJN88y0SGQhVmZnqFK/PeuMX9LzUyWsqqhNxIyg0jlzq946yA=="; + url = "https://registry.npmjs.org/qs/-/qs-6.9.2.tgz"; + sha512 = "2eQ6zajpK7HwqrY1rRtGw5IZvjgtELXzJECaEDuzDFo2jjnIXpJSimzd4qflWZq6bLLi+Zgfj5eDrAzl/lptyg=="; }; }; "query-string-1.0.1" = { @@ -35892,6 +36351,15 @@ let sha512 = "PPYLwZ63lXi6Tv2EZ8w3M4FzC0rVqvxivaOVS8pXSp5FMIHFnvi4MWHL3UdFLhwSy50aNtJsgjY0mBC6oFL26Q=="; }; }; + "rate-map-1.0.5" = { + name = "rate-map"; + packageName = "rate-map"; + version = "1.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/rate-map/-/rate-map-1.0.5.tgz"; + sha512 = "u/oBh01ZgCZM8Dqkm+xUh9mLl5t/9wxBNpVDZUSKjYvPSOOwYCeVheS5esvdyVnR0k3sLh+H9v16h1Z7FRy2Fw=="; + }; + }; "raven-1.2.1" = { name = "raven"; packageName = "raven"; @@ -35982,22 +36450,22 @@ let sha512 = "C0SIXdXDSus2yqqvV7qifnb4NoWP7mEBXJq3axci301mXHCZb8Djwm4hrEZo4UeXRaEnfjH98uQ8EBppk2oNWA=="; }; }; - "react-16.13.0" = { + "react-16.13.1" = { name = "react"; packageName = "react"; - version = "16.13.0"; + version = "16.13.1"; src = fetchurl { - url = "https://registry.npmjs.org/react/-/react-16.13.0.tgz"; - sha512 = "TSavZz2iSLkq5/oiE7gnFzmURKZMltmi193rm5HEoUDAXpzT9Kzw6oNZnGoai/4+fUnm7FqS5dwgUL34TujcWQ=="; + url = "https://registry.npmjs.org/react/-/react-16.13.1.tgz"; + sha512 = "YMZQQq32xHLX0bz5Mnibv1/LHb3Sqzngu7xstSM+vrkE5Kzr9xE0yMByK5kMoTK30YVJE61WfbxIFFvfeDKT1w=="; }; }; - "react-is-16.13.0" = { + "react-is-16.13.1" = { name = "react-is"; packageName = "react-is"; - version = "16.13.0"; + version = "16.13.1"; src = fetchurl { - url = "https://registry.npmjs.org/react-is/-/react-is-16.13.0.tgz"; - sha512 = "GFMtL0vHkiBv9HluwNZTggSn/sCyEt9n02aM0dSAjGGyqyNlAyftYm4phPxdvCigG15JreC5biwxCgTAJZ7yAA=="; + url = "https://registry.npmjs.org/react-is/-/react-is-16.13.1.tgz"; + sha512 = "24e6ynE2H+OKt4kqsOvNd8kBpV65zoxbA4BVsEOB3ARVWQki/DHzaUoC5KuON/BiccDaCCTZBuOcfZs70kR8bQ=="; }; }; "react-reconciler-0.24.0" = { @@ -36486,13 +36954,13 @@ let sha512 = "ZS5w8CpKFinUzOwW3c83oPeVXoNsrLsaCoLtJvAClH135j/R77RuymhiSErhm2lKcwSCIpmvIWSbDkIfAqKQlA=="; }; }; - "regenerator-transform-0.14.3" = { + "regenerator-transform-0.14.4" = { name = "regenerator-transform"; packageName = "regenerator-transform"; - version = "0.14.3"; + version = "0.14.4"; src = fetchurl { - url = "https://registry.npmjs.org/regenerator-transform/-/regenerator-transform-0.14.3.tgz"; - sha512 = "zXHNKJspmONxBViAb3ZUmFoFPnTBs3zFhCEZJiwp/gkNzxVbTqNJVjYKx6Qk1tQ1P4XLf4TbH9+KBB7wGoAaUw=="; + url = "https://registry.npmjs.org/regenerator-transform/-/regenerator-transform-0.14.4.tgz"; + sha512 = "EaJaKPBI9GvKpvUz2mz4fhx7WPgvwRLY9v3hlNHWmAuJHI13T4nwKnNvm5RWJzEdnI5g5UwtOww+S8IdoUC2bw=="; }; }; "regex-cache-0.4.4" = { @@ -36630,6 +37098,15 @@ let sha1 = "3361ecfa3ca6c18283380dd0bb9546f390f5ece7"; }; }; + "reject-unsatisfied-npm-version-1.0.0" = { + name = "reject-unsatisfied-npm-version"; + packageName = "reject-unsatisfied-npm-version"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/reject-unsatisfied-npm-version/-/reject-unsatisfied-npm-version-1.0.0.tgz"; + sha512 = "8cl35x8i3W1+RubvIq9CM7fJkdMwBOdjne4b7eFBoo4vvN1QoXbgusQw6VVv2DBmm6NDyMhUmp9FBxaMWU9s7Q=="; + }; + }; "relateurl-0.2.7" = { name = "relateurl"; packageName = "relateurl"; @@ -36693,13 +37170,13 @@ let sha512 = "K0PTsaZvJlXTl9DN6qYlvjTkqSZBFELhROZMrblm2rB+085flN84nz4g/BscKRMqDvhzlK1oQ/xnWQumdeNZYw=="; }; }; - "remark-frontmatter-1.3.2" = { + "remark-frontmatter-1.3.3" = { name = "remark-frontmatter"; packageName = "remark-frontmatter"; - version = "1.3.2"; + version = "1.3.3"; src = fetchurl { - url = "https://registry.npmjs.org/remark-frontmatter/-/remark-frontmatter-1.3.2.tgz"; - sha512 = "2eayxITZ8rezsXdgcXnYB3iLivohm2V/ZT4Ne8uhua6A4pk6GdLE2ZzJnbnINtD1HRLaTdB7RwF9sgUbMptJZA=="; + url = "https://registry.npmjs.org/remark-frontmatter/-/remark-frontmatter-1.3.3.tgz"; + sha512 = "fM5eZPBvu2pVNoq3ZPW22q+5Ativ1oLozq2qYt9I2oNyxiUd/tDl0iLLntEVAegpZIslPWg1brhcP1VsaSVUag=="; }; }; "remark-html-2.0.2" = { @@ -37080,6 +37557,15 @@ let sha512 = "ipEzlWQe6RK3jkzikgCupiTbTvm4S0/CAU5GlgptkN5SO6F3u0UD0K18wy6ErDqiCyP4J4YYe1HuAShvsxePLg=="; }; }; + "requirejs-config-file-3.1.2" = { + name = "requirejs-config-file"; + packageName = "requirejs-config-file"; + version = "3.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/requirejs-config-file/-/requirejs-config-file-3.1.2.tgz"; + sha512 = "sdLWywcDuNz7EIOhenSbRfT4YF84nItDv90coN2htbokjmU2QeyQuSBZILQUKNksepl8UPVU+hgYySFaDxbJPQ=="; + }; + }; "requires-port-1.0.0" = { name = "requires-port"; packageName = "requires-port"; @@ -37134,6 +37620,15 @@ let sha1 = "00a9f7387556e27038eae232caa372a6a59b665a"; }; }; + "resolve-dependency-path-2.0.0" = { + name = "resolve-dependency-path"; + packageName = "resolve-dependency-path"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/resolve-dependency-path/-/resolve-dependency-path-2.0.0.tgz"; + sha512 = "DIgu+0Dv+6v2XwRaNWnumKu7GPufBBOr5I1gRPJHkvghrfCGOooJODFvgFimX/KRxk9j0whD2MnKHzM1jYvk9w=="; + }; + }; "resolve-dir-1.0.1" = { name = "resolve-dir"; packageName = "resolve-dir"; @@ -37188,6 +37683,15 @@ let sha512 = "qYg9KP24dD5qka9J47d0aVky0N+b4fTU89LN9iDnjB5waksiC49rvMB0PrUJQGoTmH50XPiqOvAjDfaijGxYZw=="; }; }; + "resolve-from-npm-3.1.0" = { + name = "resolve-from-npm"; + packageName = "resolve-from-npm"; + version = "3.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/resolve-from-npm/-/resolve-from-npm-3.1.0.tgz"; + sha512 = "HVhEcznfeFWM7T3HWCT7vCjwkv0R1ruC4Ref5jTlTvz2X8GKeUZTqjvZWlefmKQvQfKYOJhQo90Yjhpcr8aclg=="; + }; + }; "resolve-options-1.1.0" = { name = "resolve-options"; packageName = "resolve-options"; @@ -37674,13 +38178,13 @@ let sha1 = "6f04063a2d04eba3303a1bbc6765eef63037cf3d"; }; }; - "rss-parser-3.7.5" = { + "rss-parser-3.7.6" = { name = "rss-parser"; packageName = "rss-parser"; - version = "3.7.5"; + version = "3.7.6"; src = fetchurl { - url = "https://registry.npmjs.org/rss-parser/-/rss-parser-3.7.5.tgz"; - sha512 = "bUbAJfiuNyR/IGpYie6ro6x7X26QMWHxz1Sy9qkPyU/vYwbf3YdopQJIIELwdp3FCU4evcyetOD8bA8fgS+SqA=="; + url = "https://registry.npmjs.org/rss-parser/-/rss-parser-3.7.6.tgz"; + sha512 = "wWWh3/pPLAPgWyfkCC9jB83jSBenU6VPMymfXiysi8wJxaN7KNkW4vU3Jm8jQxExAribFvXREy+RtaL3XQubeA=="; }; }; "rsvp-3.6.2" = { @@ -37737,6 +38241,15 @@ let sha512 = "xJTbh/d7Lm7SBhc1tNvTpeCHaEzoyxPrqNlvSdMfBTYwaY++UJFyXUOxAtsRUXjlqOfj8luNaR9vjCh4KeV+pg=="; }; }; + "run-in-dir-0.3.0" = { + name = "run-in-dir"; + packageName = "run-in-dir"; + version = "0.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/run-in-dir/-/run-in-dir-0.3.0.tgz"; + sha512 = "5aPpxad3Jq9r6OK6rw+Gs5HVuZsEeQM/M4I9CdCWyThkstLAUCJSc3IRs8dT0p/z9mxAJgU5ELRQL2q/ddY6PQ=="; + }; + }; "run-parallel-1.1.9" = { name = "run-parallel"; packageName = "run-parallel"; @@ -37863,6 +38376,15 @@ let sha512 = "naMQXcgEo3csAEGvw/NydRA0fuS2nDZJiw1YUWFKU7aPPAPGZEsD4Iimit96qwCieH6y614MCLYwdkrWx7z/7Q=="; }; }; + "s.color-0.0.13" = { + name = "s.color"; + packageName = "s.color"; + version = "0.0.13"; + src = fetchurl { + url = "https://registry.npmjs.org/s.color/-/s.color-0.0.13.tgz"; + sha512 = "56rTWlPg3jQX5n2wv201gUBn8fSgnGwbNjN159FV+JeD4EeqZiVnhDASmivhE4+f9Ivzj59y5AgoFflsf25KwA=="; + }; + }; "s3-stream-upload-2.0.2" = { name = "s3-stream-upload"; packageName = "s3-stream-upload"; @@ -37989,6 +38511,24 @@ let sha512 = "y/52Mcy7aw3gRm7IrcGDFx/bCk4AhRh2eI9luHOQM86nZsqwiRkkq2GekHXBBD+SmPidc8i2PqtYZl+pWJ8Oeg=="; }; }; + "sass-formatter-0.4.4" = { + name = "sass-formatter"; + packageName = "sass-formatter"; + version = "0.4.4"; + src = fetchurl { + url = "https://registry.npmjs.org/sass-formatter/-/sass-formatter-0.4.4.tgz"; + sha512 = "pI/2olF5F3AMkAXbn71nJK7yAsWfRYLlyp7Suhiy4hzuNga05XaUzLO74lplCpvS8GVeUdFgef+8lU5+cHr60g=="; + }; + }; + "sass-lookup-3.0.0" = { + name = "sass-lookup"; + packageName = "sass-lookup"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/sass-lookup/-/sass-lookup-3.0.0.tgz"; + sha512 = "TTsus8CfFRn1N44bvdEai1no6PqdmDiQUiqW5DlpmtT+tYnIt1tXtDIph5KA1efC+LmioJXSnCtUVpcK9gaKIg=="; + }; + }; "sax-0.5.8" = { name = "sax"; packageName = "sax"; @@ -38052,6 +38592,15 @@ let sha512 = "i27Mic4KovM/lnGsy8whRCHhc7VicJajAjTrYg11K9zfZXnYIt4k5F+kZkwjnrhKzLic/HLU4j11mjsz2G/75g=="; }; }; + "schema-utils-2.6.5" = { + name = "schema-utils"; + packageName = "schema-utils"; + version = "2.6.5"; + src = fetchurl { + url = "https://registry.npmjs.org/schema-utils/-/schema-utils-2.6.5.tgz"; + sha512 = "5KXuwKziQrTVHh8j/Uxz+QUbxkaLW9X/86NBlx/gnKgtsZA2GIVMUn17qWhRFwF8jdYb3Dig5hRO/W5mZqy6SQ=="; + }; + }; "scoped-regex-1.0.0" = { name = "scoped-regex"; packageName = "scoped-regex"; @@ -39015,13 +39564,22 @@ let sha512 = "dKKwjIoTOa587TARYLlBRXq2lkbu5Iz35XrEVWpelhBP1m8r2BGOy1QlaZe84GTFHG/BTucEUd2btnNc8QzIVA=="; }; }; - "sisteransi-1.0.4" = { + "sisteransi-1.0.5" = { name = "sisteransi"; packageName = "sisteransi"; - version = "1.0.4"; + version = "1.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/sisteransi/-/sisteransi-1.0.5.tgz"; + sha512 = "bLGGlR1QxBcynn2d5YmDX4MGjlZvy2MRBDRNHLJ8VI6l6+9FUiyTFNJ0IveOSP0bcXgVDPRcfGqA0pjaqUpfVg=="; + }; + }; + "size-rate-0.3.1" = { + name = "size-rate"; + packageName = "size-rate"; + version = "0.3.1"; src = fetchurl { - url = "https://registry.npmjs.org/sisteransi/-/sisteransi-1.0.4.tgz"; - sha512 = "/ekMoM4NJ59ivGSfKapeG+FWtrmWvA1p6FBZwXrqojw90vJu8lBmrTxCMuBCydKtkaUe2zt4PlxeTKpjwMbyig=="; + url = "https://registry.npmjs.org/size-rate/-/size-rate-0.3.1.tgz"; + sha512 = "gs1+6r1P1w00Qv00qC4Be2pbl70/cIVCtsZJPQhEzH3vNss8QbkGIVh6/SCC7atSlX7hkuwH93TyWL1iyXjurQ=="; }; }; "skin-tone-1.0.0" = { @@ -39258,13 +39816,13 @@ let sha512 = "9NjxHVMd1U1LFw66Lya4LXgrsFUiuRiL4opxfTFo0LmMNzUoU5Bk/p0zDdg3FE5Wg61r4fP2D8w+QTl6M8CGiw=="; }; }; - "snyk-docker-plugin-2.2.2" = { + "snyk-docker-plugin-2.6.1" = { name = "snyk-docker-plugin"; packageName = "snyk-docker-plugin"; - version = "2.2.2"; + version = "2.6.1"; src = fetchurl { - url = "https://registry.npmjs.org/snyk-docker-plugin/-/snyk-docker-plugin-2.2.2.tgz"; - sha512 = "ufeACGqtypUJ3AV5+bQw/mZLo40MC9tVHdRxpBw95w0F0Oa1MT5DATQj/K8RHpkEy8X6rlMmnxH8swyryFgRhg=="; + url = "https://registry.npmjs.org/snyk-docker-plugin/-/snyk-docker-plugin-2.6.1.tgz"; + sha512 = "v3LIPILRL5faZ+qiIhF9on0rAxuFaQku3UwaiGumoTrfXywLkv7x8PJgdMnrsWUxDwB8EZFc1k2qvI6V6rTF5g=="; }; }; "snyk-go-parser-1.4.0" = { @@ -39915,6 +40473,15 @@ let sha1 = "db338ec4cff63abc69f1d0e08cee9eb8bebd9d11"; }; }; + "spawn-stack-0.7.0" = { + name = "spawn-stack"; + packageName = "spawn-stack"; + version = "0.7.0"; + src = fetchurl { + url = "https://registry.npmjs.org/spawn-stack/-/spawn-stack-0.7.0.tgz"; + sha512 = "lV3XTrZqR76y9voQq3g0NfCCd4dylXtgQW+xcoZkRYe/6IZJM20G//s2+4JYojJoHQQKKuoU+lUZkO5/tEJe4A=="; + }; + }; "spawn-sync-1.0.15" = { name = "spawn-sync"; packageName = "spawn-sync"; @@ -41247,6 +41814,15 @@ let sha512 = "fqqhZzXyAM6pGD9lky/GOPq6V4X0SeTAFBl0iXb/BzOegl40gpf/bV3QQP7zULNYvjr6+Dx8SCaDULjVoOru0A=="; }; }; + "stringify-object-3.3.0" = { + name = "stringify-object"; + packageName = "stringify-object"; + version = "3.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/stringify-object/-/stringify-object-3.3.0.tgz"; + sha512 = "rHqiFh1elqCQ9WPLIC8I0Q/g/wj5J1eMkyoiD6eoQApWHP0FtlK7rqnhmabL5VUY9JQCcqwwvlOaSuutekgyrw=="; + }; + }; "stringify-parameters-0.0.4" = { name = "stringify-parameters"; packageName = "stringify-parameters"; @@ -41634,6 +42210,15 @@ let sha512 = "Yw3WMTzVwevT6ZTrLCYNHAFmanMxdylelL3hkWNgPMeTCpMwpV3nXjpOHuBXtFv7aiO2xRuQS6OoAdgkNcSNug=="; }; }; + "stylus-lookup-3.0.2" = { + name = "stylus-lookup"; + packageName = "stylus-lookup"; + version = "3.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/stylus-lookup/-/stylus-lookup-3.0.2.tgz"; + sha512 = "oEQGHSjg/AMaWlKe7gqsnYzan8DLcGIHe0dUaFkucZZ14z4zjENRlQMCHT4FNsiWnJf17YN9OvrCfCoi7VvOyg=="; + }; + }; "stylus-supremacy-2.14.0" = { name = "stylus-supremacy"; packageName = "stylus-supremacy"; @@ -41679,6 +42264,33 @@ let sha1 = "cc539bf8191624d4f507d83eeb45b4cea27f3463"; }; }; + "suf-cli-0.1.1" = { + name = "suf-cli"; + packageName = "suf-cli"; + version = "0.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/suf-cli/-/suf-cli-0.1.1.tgz"; + sha512 = "0znmYibLknX0bWsnqW9rzM8IvKux3rTSnW52l5teIC+QAgS/StUl5f4WyLPBw+posfbOgoX+03xHPV4sMxY64Q=="; + }; + }; + "suf-node-1.1.1" = { + name = "suf-node"; + packageName = "suf-node"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/suf-node/-/suf-node-1.1.1.tgz"; + sha512 = "XiyPqLdiHJ3CMphADCJg/JVQYcj3JfO8NomlLubSdGYgppfzE+g/7Fyusza/Kr7lC919BdwbfIqFxK8VO7+l4w=="; + }; + }; + "suf-regex-0.0.14" = { + name = "suf-regex"; + packageName = "suf-regex"; + version = "0.0.14"; + src = fetchurl { + url = "https://registry.npmjs.org/suf-regex/-/suf-regex-0.0.14.tgz"; + sha512 = "+WE5PZTfD6lVNCNsyBInJIAbok8LpqgOhvCbSViZeQ/JTxdxq7Lw+tSJXGM+jrIp81jj9GCMYvkl00JAkn2CtA=="; + }; + }; "sugarss-1.0.1" = { name = "sugarss"; packageName = "sugarss"; @@ -42454,13 +43066,13 @@ let sha512 = "a6sumDlzyHVJWb8+YofY4TW112G6p2FCPEAFk+59gIYHv3XHRhm9ltVQ9kli4hNWeQBwSpe8cRN25x0ROunMOw=="; }; }; - "terminal-kit-1.35.1" = { + "terminal-kit-1.35.2" = { name = "terminal-kit"; packageName = "terminal-kit"; - version = "1.35.1"; + version = "1.35.2"; src = fetchurl { - url = "https://registry.npmjs.org/terminal-kit/-/terminal-kit-1.35.1.tgz"; - sha512 = "36q0frqenTb1kWuq+vJU+xbGB8KPX1+05kxnbVZxeu9cXDL68qR5w2NzsliogHweplRI7XP0Zc/FITMMA8/BHA=="; + url = "https://registry.npmjs.org/terminal-kit/-/terminal-kit-1.35.2.tgz"; + sha512 = "PCNmMKjlcGQN/OlQ+nzdgPmrS0kmPcOqPCmHPrxCfOuvz0fQ1fZLiyivRIcwzY9bnm624VVsywUis+iPA3Hq5A=="; }; }; "terser-3.17.0" = { @@ -42472,13 +43084,13 @@ let sha512 = "/FQzzPJmCpjAH9Xvk2paiWrFq+5M6aVOf+2KRbwhByISDX/EujxsK+BAvrhb6H+2rtrLCHK9N01wO014vrIwVQ=="; }; }; - "terser-4.6.6" = { + "terser-4.6.7" = { name = "terser"; packageName = "terser"; - version = "4.6.6"; + version = "4.6.7"; src = fetchurl { - url = "https://registry.npmjs.org/terser/-/terser-4.6.6.tgz"; - sha512 = "4lYPyeNmstjIIESr/ysHg2vUPRGf2tzF9z2yYwnowXVuVzLEamPN1Gfrz7f8I9uEPuHcbFlW4PLIAsJoxXyJ1g=="; + url = "https://registry.npmjs.org/terser/-/terser-4.6.7.tgz"; + sha512 = "fmr7M1f7DBly5cX2+rFDvmGBAaaZyPrHYK4mMdHEDAdNTqXSZgSOfqsfGq2HqPGT/1V0foZZuCZFx8CHKgAk3g=="; }; }; "terser-webpack-plugin-1.4.3" = { @@ -42742,6 +43354,15 @@ let sha512 = "eHY7nBftgThBqOyHGVN+l8gF0BucP09fMo0oO/Lb0w1OF80dJv+lDVpXG60WMQvkcxAkNybKsrEIE3ZtKGmPrA=="; }; }; + "tilde-path-3.0.0" = { + name = "tilde-path"; + packageName = "tilde-path"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/tilde-path/-/tilde-path-3.0.0.tgz"; + sha512 = "jHGx1beQCxoIuyg1LDKxqL3J0zNA57eGjlXsqtcjj6Q9EKXh4Sz895VxXW/psJW1PYIF79XViZEEWrvmhaZ61g=="; + }; + }; "tildify-1.2.0" = { name = "tildify"; packageName = "tildify"; @@ -43147,15 +43768,6 @@ let sha512 = "Y7EDM+uoU8TZxF5ej2mUR0dLO4qbuuNRnJKxEht2QJWEq2421pyG1D1x8YxPKmyTc6nHh7Td/jLGFxYo+9vkLA=="; }; }; - "to-vfile-5.0.3" = { - name = "to-vfile"; - packageName = "to-vfile"; - version = "5.0.3"; - src = fetchurl { - url = "https://registry.npmjs.org/to-vfile/-/to-vfile-5.0.3.tgz"; - sha512 = "z1Lfx60yAMDMmr+f426Y4yECsHdl8GVEAE+LymjRF5oOIZ7T4N20IxWNAxXLMRzP9jSSll38Z0fKVAhVLsdLOw=="; - }; - }; "to-vfile-6.1.0" = { name = "to-vfile"; packageName = "to-vfile"; @@ -43588,13 +44200,13 @@ let sha512 = "uEtWkFM/sdZvRNNDL3Ehu4WVpwaulhwQszV8mrtcdeE8nN00BV9mAmQ88RkrBhFgl9gMgvjJLAQcZbnPXI9mlA=="; }; }; - "ts-node-8.7.0" = { + "ts-node-8.8.1" = { name = "ts-node"; packageName = "ts-node"; - version = "8.7.0"; + version = "8.8.1"; src = fetchurl { - url = "https://registry.npmjs.org/ts-node/-/ts-node-8.7.0.tgz"; - sha512 = "s659CsHrsxaRVDEleuOkGvbsA0rWHtszUNEt1r0CgAFN5ZZTQtDzpsluS7W5pOGJIa1xZE8R/zK4dEs+ldFezg=="; + url = "https://registry.npmjs.org/ts-node/-/ts-node-8.8.1.tgz"; + sha512 = "10DE9ONho06QORKAaCBpPiFCdW+tZJuY/84tyypGtl6r+/C7Asq0dhqbRZURuUlLQtZxxDvT8eoj8cGW0ha6Bg=="; }; }; "ts-process-promises-1.0.2" = { @@ -43651,6 +44263,15 @@ let sha512 = "g5JVHCIJwzfISaXpXE1qvNalca5Jwob6FjI4AoPlqMusJ6ftFE7IkkFoMhVLRgK+4Kx3gkzb8UZK5t5yTTvEmA=="; }; }; + "tsutils-3.17.1" = { + name = "tsutils"; + packageName = "tsutils"; + version = "3.17.1"; + src = fetchurl { + url = "https://registry.npmjs.org/tsutils/-/tsutils-3.17.1.tgz"; + sha512 = "kzeQ5B8H3w60nFY2g8cJIuH7JDpsALXySGtwGJ0p2LSjLgay3NdIpqq5SoOBe46bKDW2iq25irHCr8wjomUS2g=="; + }; + }; "ttf2woff-2.0.1" = { name = "ttf2woff"; packageName = "ttf2woff"; @@ -43705,6 +44326,24 @@ let sha512 = "C3TaO7K81YvjCgQH9Q1S3R3P3BtN3RIM8n+OvX4il1K1zgE8ZhI0op7kClgkxtutIE8hQrcrHBXvIheqKUUCxw=="; }; }; + "tty-truncate-1.0.5" = { + name = "tty-truncate"; + packageName = "tty-truncate"; + version = "1.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/tty-truncate/-/tty-truncate-1.0.5.tgz"; + sha512 = "ftM6dBJyEXLMspVSO2huTtGQapxsljpmQh5jYMaUFeCgETOWNT/KZcBGXtoBEYRBO2JRdxsSSHPloa6Fy/AWXw=="; + }; + }; + "tty-width-frame-1.0.3" = { + name = "tty-width-frame"; + packageName = "tty-width-frame"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/tty-width-frame/-/tty-width-frame-1.0.3.tgz"; + sha512 = "k3DeWv+GnKYcFaFLK87Ecum4AWxySB0lfzQaonlHKaoum7A2DlTkWw8mfgWtz4o8Hoa4Ab/ZdDitR74LhbOK8A=="; + }; + }; "tunnel-0.0.6" = { name = "tunnel"; packageName = "tunnel"; @@ -43930,15 +44569,6 @@ let sha512 = "kk80vLW9iGtjMnIv11qyxLqZm20UklzuR2tL0QAnDIygIUIemcZMxlMWudl9OOt76H3ntVzcTiddQ1/pAAJMYg=="; }; }; - "typescript-3.7.3" = { - name = "typescript"; - packageName = "typescript"; - version = "3.7.3"; - src = fetchurl { - url = "https://registry.npmjs.org/typescript/-/typescript-3.7.3.tgz"; - sha512 = "Mcr/Qk7hXqFBXMN7p7Lusj1ktCBydylfQM/FZCk5glCNQJrCUKPkMHdo9R0MTFWsC/4kPFvDS0fDPvukfCkFsw=="; - }; - }; "typescript-3.7.5" = { name = "typescript"; packageName = "typescript"; @@ -44704,6 +45334,15 @@ let sha512 = "rBJeI5CXAlmy1pV+617WB9J63U6XcazHHF2f2dbJix4XzpUF0RS3Zbj0FGIOCAva5P/d/GBOYaACQ1w+0azUkg=="; }; }; + "universalify-1.0.0" = { + name = "universalify"; + packageName = "universalify"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/universalify/-/universalify-1.0.0.tgz"; + sha512 = "rb6X1W158d7pRQBg5gkR8uPaSfiids68LTJQYOtEUhoJUWBdaQHsuT/EUduxXYxcrt4r5PJ4fuHW1MHT6p0qug=="; + }; + }; "unix-crypt-td-js-1.1.4" = { name = "unix-crypt-td-js"; packageName = "unix-crypt-td-js"; @@ -44713,13 +45352,13 @@ let sha512 = "8rMeVYWSIyccIJscb9NdCfZKSRBKYTeVnwmiRYT2ulE3qd1RaDQ0xQDP+rI3ccIWbhu/zuo5cgN8z73belNZgw=="; }; }; - "unix-dgram-2.0.3" = { + "unix-dgram-2.0.4" = { name = "unix-dgram"; packageName = "unix-dgram"; - version = "2.0.3"; + version = "2.0.4"; src = fetchurl { - url = "https://registry.npmjs.org/unix-dgram/-/unix-dgram-2.0.3.tgz"; - sha512 = "Bay5CkSLcdypcBCsxvHEvaG3mftzT5FlUnRToPWEAVxwYI8NI/8zSJ/Gknlp86MPhV6hBA8I8TBsETj2tssoHQ=="; + url = "https://registry.npmjs.org/unix-dgram/-/unix-dgram-2.0.4.tgz"; + sha512 = "7tpK6x7ls7J7pDrrAU63h93R0dVhRbPwiRRCawR10cl+2e1VOvF3bHlVJc6WI1dl/8qk5He673QU+Ogv7bPNaw=="; }; }; "unixify-1.0.0" = { @@ -45658,13 +46297,13 @@ let sha512 = "/juG65kTL4Cy2su4P8HjtkTxk6VmJDiOPBufWniqQ6wknac6jNiXS9vU+hO3wgusiyqWlzTbVHi0dyJqRONg3w=="; }; }; - "verda-1.0.0-12" = { + "verda-1.0.0" = { name = "verda"; packageName = "verda"; - version = "1.0.0-12"; + version = "1.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/verda/-/verda-1.0.0-12.tgz"; - sha512 = "pNfCbq1WlBTE0SsyajJFzkQtp+E/opy5/+8405XHE4VMQ+3Xr/h2s9OijnbHPwPDJvybV4+JCktJhc6Ru6yg7w=="; + url = "https://registry.npmjs.org/verda/-/verda-1.0.0.tgz"; + sha512 = "kr/M1CkBepFQP/jIWh9QB8x/2Ly7qzsZMJwbjUurJAhbn+FQkGxiDMcCGRGYpMGl0oL8kLmEQDVNyzFzE9AUVw=="; }; }; "verror-1.1.0" = { @@ -45712,6 +46351,15 @@ let sha1 = "7d13b27b1facc2e2da90405eb5ea6e5bdd252ea5"; }; }; + "vertical-meter-1.0.0" = { + name = "vertical-meter"; + packageName = "vertical-meter"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/vertical-meter/-/vertical-meter-1.0.0.tgz"; + sha512 = "xvtone0DHRBrWSBVF2p3+/KSz/mzHvDZ7+HYB3g68hBpqIC3tIF8J1maf5osHPKHB/45iq2B+T4ju/mfxArd/Q=="; + }; + }; "vfile-1.4.0" = { name = "vfile"; packageName = "vfile"; @@ -45973,6 +46621,15 @@ let sha512 = "DRibZL6DsNhIgYQ+wNdWDL2SL3bKPlVrRiBqV5yuMm++op8W4kGFtaQfCs4KEJn0wBZcHVHJ3eoywX8983k1ow=="; }; }; + "vls-0.2.0" = { + name = "vls"; + packageName = "vls"; + version = "0.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/vls/-/vls-0.2.0.tgz"; + sha512 = "B6xMWi3sOVSitcLx4zKWB5vh1DLL0Okkqy5Fa7rHSmqvPhDDn7idU+vwjWZW8IzHQO1T+brxvDjbKuSnEXNPRw=="; + }; + }; "vm-browserify-1.1.2" = { name = "vm-browserify"; packageName = "vm-browserify"; @@ -46225,13 +46882,13 @@ let sha512 = "ITtoh3V4AkWXMmp3TB97vsMaHRgHhsSFPsUdzlueSL+dRZbSNTZeOmdQv60kjCV306ghPxhDeoNUEm3+EZMuyw=="; }; }; - "vscode-nls-4.1.1" = { + "vscode-nls-4.1.2" = { name = "vscode-nls"; packageName = "vscode-nls"; - version = "4.1.1"; + version = "4.1.2"; src = fetchurl { - url = "https://registry.npmjs.org/vscode-nls/-/vscode-nls-4.1.1.tgz"; - sha512 = "4R+2UoUUU/LdnMnFjePxfLqNhBS8lrAFyX7pjb2ud/lqDkrUavFUTcG7wR0HBZFakae0Q6KLBFjMS6W93F403A=="; + url = "https://registry.npmjs.org/vscode-nls/-/vscode-nls-4.1.2.tgz"; + sha512 = "7bOHxPsfyuCqmP+hZXscLhiHwe7CSuFE4hyhbs22xPIhQ4jv99FcR4eBzfYYVLP356HNFpdvz63FFb/xw6T4Iw=="; }; }; "vscode-textbuffer-1.0.0" = { @@ -46279,6 +46936,15 @@ let sha512 = "eY9jmGoEnVf8VE8xr5znSah7Qt1P/xsCdErz+g8HYZtJ7bZqKH5E3d+6oVNm1AC/c6IHUDokbmVXKOi4qPAC9A=="; }; }; + "vscode-web-custom-data-0.1.3" = { + name = "vscode-web-custom-data"; + packageName = "vscode-web-custom-data"; + version = "0.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/vscode-web-custom-data/-/vscode-web-custom-data-0.1.3.tgz"; + sha512 = "u9RdZ3aIjx5f0WYSb8EIcmo7trgrJk+bVfGDfjyBSlfG59XWg8xw/JVfHNpO5AklustCez3vixnGLAOWzhPkZg=="; + }; + }; "vstream-0.1.0" = { name = "vstream"; packageName = "vstream"; @@ -46315,15 +46981,6 @@ let sha512 = "ZezcU71Owm84xVF6gfurBQUGg8WQ+WZGxgDEQu1IHFBZNx7BFZg3L1yHxrCBNNwbwFtE1GuvfJKMtb6Xuwc/Bw=="; }; }; - "vue-eslint-parser-5.0.0" = { - name = "vue-eslint-parser"; - packageName = "vue-eslint-parser"; - version = "5.0.0"; - src = fetchurl { - url = "https://registry.npmjs.org/vue-eslint-parser/-/vue-eslint-parser-5.0.0.tgz"; - sha512 = "JlHVZwBBTNVvzmifwjpZYn0oPWH2SgWv5dojlZBsrhablDu95VFD+hriB1rQGwbD+bms6g+rAFhQHk6+NyiS6g=="; - }; - }; "vue-eslint-parser-6.0.5" = { name = "vue-eslint-parser"; packageName = "vue-eslint-parser"; @@ -46351,15 +47008,6 @@ let sha512 = "RXv0lrDG2CAx3yAQCoD9beU8nAoT3rhh/fgb+xlYM0Qlm+cpkWSLTdfOt1x2j2wHI08uEsi0q7x6rjhn54EbNA=="; }; }; - "vue-language-server-0.0.62" = { - name = "vue-language-server"; - packageName = "vue-language-server"; - version = "0.0.62"; - src = fetchurl { - url = "https://registry.npmjs.org/vue-language-server/-/vue-language-server-0.0.62.tgz"; - sha512 = "Q/nwAVlBfLKz4CSA4vkA14jJcXElP1Vf+O5GZhG7sPlNKMEy91rdVwYc7IfMRZV/SCAZRak9/oo0Zy4+39dwrg=="; - }; - }; "vue-onsenui-helper-json-1.0.2" = { name = "vue-onsenui-helper-json"; packageName = "vue-onsenui-helper-json"; @@ -46549,13 +47197,13 @@ let sha512 = "VlZwKPCkYKxQgeSbH5EyngOmRp7Ww7I9rQLERETtf5ofd9pGeswWiOtogpEO850jziPRarreGxn5QIiTqpb2wA=="; }; }; - "webpack-4.42.0" = { + "webpack-4.42.1" = { name = "webpack"; packageName = "webpack"; - version = "4.42.0"; + version = "4.42.1"; src = fetchurl { - url = "https://registry.npmjs.org/webpack/-/webpack-4.42.0.tgz"; - sha512 = "EzJRHvwQyBiYrYqhyjW9AqM90dE4+s1/XtCfn7uWg6cS72zH+2VPFAlsnW0+W0cDi0XRjNKUMoJtpSi50+Ph6w=="; + url = "https://registry.npmjs.org/webpack/-/webpack-4.42.1.tgz"; + sha512 = "SGfYMigqEfdGchGhFFJ9KyRpQKnipvEvjc1TwrXEPCM6H5Wywu10ka8o3KGrMzSMxMQKt8aCHUFh5DaQ9UmyRg=="; }; }; "webpack-cli-3.3.11" = { @@ -46873,6 +47521,15 @@ let sha1 = "8f58e0656fca00adc8c86a2b89e3cd2d6a2d5e5e"; }; }; + "win-user-installed-npm-cli-path-3.0.1" = { + name = "win-user-installed-npm-cli-path"; + packageName = "win-user-installed-npm-cli-path"; + version = "3.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/win-user-installed-npm-cli-path/-/win-user-installed-npm-cli-path-3.0.1.tgz"; + sha512 = "Us1ZlMmWDInXihJ+SWP8/L0ArqsLqPtWK9Q67x6+q+z7C2c22viVgCmbH+x0BeMsosmPS9OKHvka519XbO51Rw=="; + }; + }; "window-size-0.1.0" = { name = "window-size"; packageName = "window-size"; @@ -47864,15 +48521,6 @@ let sha512 = "HG/DWAJa1PAnHT9JAhNa8AbAv3FPaiLzioSjCcmuXXhP8MlpHO5vwls4g4j6n30Z74GVQj8Xa62dWVx1QCGklg=="; }; }; - "yargs-13.3.0" = { - name = "yargs"; - packageName = "yargs"; - version = "13.3.0"; - src = fetchurl { - url = "https://registry.npmjs.org/yargs/-/yargs-13.3.0.tgz"; - sha512 = "2eehun/8ALW8TLoIl7MVaRUrg+yCnenu8B4kBlRxj3GJGDKU1Og7sMXPNm1BYyM1DOJmTZ4YeN/Nwxv+8XJsUA=="; - }; - }; "yargs-13.3.2" = { name = "yargs"; packageName = "yargs"; @@ -47900,13 +48548,13 @@ let sha512 = "T39FNN1b6hCW4SOIk1XyTOWxtXdcen0t+XYrysQmChzSipvhBO8Bj0nK1ozAasdk24dNWuMZvr4k24nz+8HHLg=="; }; }; - "yargs-15.3.0" = { + "yargs-15.3.1" = { name = "yargs"; packageName = "yargs"; - version = "15.3.0"; + version = "15.3.1"; src = fetchurl { - url = "https://registry.npmjs.org/yargs/-/yargs-15.3.0.tgz"; - sha512 = "g/QCnmjgOl1YJjGsnUg2SatC7NUYEiLXJqxNOQU9qSpjzGtGXda9b+OKccr1kLTy8BN9yqEyqfq5lxlwdc13TA=="; + url = "https://registry.npmjs.org/yargs/-/yargs-15.3.1.tgz"; + sha512 = "92O1HWEjw27sBfgmXiixJWT5hRBp2eobqXicLtPBIDBhYB+1HpwZlXmbW2luivBJHBzki+7VyCLRtAkScbTBQA=="; }; }; "yargs-3.10.0" = { @@ -47990,15 +48638,6 @@ let sha512 = "w2LXjoL8oRdRQN+hOyppuXs+V/fVAYtpcrRxZuF7Kt/Oc+Jr2uAcVntaUTNT6w5ihoWfFDpNY8CPx1QskxZ/pw=="; }; }; - "yargs-parser-13.1.1" = { - name = "yargs-parser"; - packageName = "yargs-parser"; - version = "13.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/yargs-parser/-/yargs-parser-13.1.1.tgz"; - sha512 = "oVAVsHz6uFrg3XQheFII8ESO2ssAf9luWuAd6Wexsu4F3OtIW0o8IribPXYrD4WC24LWtPrJlGy87y5udK+dxQ=="; - }; - }; "yargs-parser-13.1.2" = { name = "yargs-parser"; packageName = "yargs-parser"; @@ -48026,13 +48665,13 @@ let sha512 = "H/V41UNZQPkUMIT5h5hiwg4QKIY1RPvoBV4XcjUbRM8Bk2oKqqyZ0DIEbTFZB0XjbtSPG8SAa/0DxCQmiRgzKg=="; }; }; - "yargs-parser-18.1.0" = { + "yargs-parser-18.1.1" = { name = "yargs-parser"; packageName = "yargs-parser"; - version = "18.1.0"; + version = "18.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/yargs-parser/-/yargs-parser-18.1.0.tgz"; - sha512 = "o/Jr6JBOv6Yx3pL+5naWSoIA2jJ+ZkMYQG/ie9qFbukBe4uzmBatlXFOiu/tNKRWEtyf+n5w7jc/O16ufqOTdQ=="; + url = "https://registry.npmjs.org/yargs-parser/-/yargs-parser-18.1.1.tgz"; + sha512 = "KRHEsOM16IX7XuLnMOqImcPNbLVXMNHYAoFc3BKR8Ortl5gzDbtXvvEoGx9imk5E+X1VeNKNlcHr8B8vi+7ipA=="; }; }; "yargs-parser-2.4.1" = { @@ -48188,13 +48827,13 @@ let sha512 = "Ux4ygGWsu2c7isFWe8Yu1YluJmqVhxqK2cLXNQA5AcC3QfbGNpM7fu0Y8b/z16pXLnFxZYvWhd3fhBY9DLmC6Q=="; }; }; - "yoga-layout-prebuilt-1.9.3" = { + "yoga-layout-prebuilt-1.9.5" = { name = "yoga-layout-prebuilt"; packageName = "yoga-layout-prebuilt"; - version = "1.9.3"; + version = "1.9.5"; src = fetchurl { - url = "https://registry.npmjs.org/yoga-layout-prebuilt/-/yoga-layout-prebuilt-1.9.3.tgz"; - sha512 = "9SNQpwuEh2NucU83i2KMZnONVudZ86YNcFk9tq74YaqrQfgJWO3yB9uzH1tAg8iqh5c9F5j0wuyJ2z72wcum2w=="; + url = "https://registry.npmjs.org/yoga-layout-prebuilt/-/yoga-layout-prebuilt-1.9.5.tgz"; + sha512 = "+G5Ojl4/sG78mk5masCL3SRaZtkKXRBhMGf5c+4C1j32jN9KpS4lxVFdYyBi15EHN4gMeK5sIRf83T33TOaDkA=="; }; }; "yosay-2.0.2" = { @@ -48302,17 +48941,17 @@ in "@angular/cli" = nodeEnv.buildNodePackage { name = "_at_angular_slash_cli"; packageName = "@angular/cli"; - version = "9.0.6"; + version = "9.0.7"; src = fetchurl { - url = "https://registry.npmjs.org/@angular/cli/-/cli-9.0.6.tgz"; - sha512 = "uDXhkPcHhE4G4FlY7+LJWhXErHnkn63V8lqkKD7juivs+Epmx8oXCOVObEQTbbtw7sF6s0dM8uTzHKgoefTlaA=="; + url = "https://registry.npmjs.org/@angular/cli/-/cli-9.0.7.tgz"; + sha512 = "/9CUNSSVyTtTNUADZ/VXJDEdhineMN/rfd35w6VsHiob49tKkeOTggaoiSne3RY4VCTqlo7GGf4KhhVXEMGnDQ=="; }; dependencies = [ - sources."@angular-devkit/architect-0.900.6" - sources."@angular-devkit/core-9.0.6" - sources."@angular-devkit/schematics-9.0.6" - sources."@schematics/angular-9.0.6" - (sources."@schematics/update-0.900.6" // { + sources."@angular-devkit/architect-0.900.7" + sources."@angular-devkit/core-9.0.7" + sources."@angular-devkit/schematics-9.0.7" + sources."@schematics/angular-9.0.7" + (sources."@schematics/update-0.900.7" // { dependencies = [ (sources."npm-package-arg-7.0.0" // { dependencies = [ @@ -48343,7 +48982,7 @@ in sources."brace-expansion-1.1.11" sources."buffer-from-1.1.1" sources."builtins-1.0.3" - (sources."cacache-12.0.3" // { + (sources."cacache-12.0.4" // { dependencies = [ sources."rimraf-2.7.1" ]; @@ -48381,7 +49020,7 @@ in sources."encoding-0.1.12" sources."end-of-stream-1.4.4" sources."err-code-1.1.2" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."es6-promise-4.2.8" sources."es6-promisify-5.0.0" @@ -48391,7 +49030,7 @@ in sources."extsprintf-1.3.0" sources."fast-deep-equal-2.0.1" sources."fast-json-stable-stringify-2.0.0" - sources."figgy-pudding-3.5.1" + sources."figgy-pudding-3.5.2" sources."figures-3.2.0" sources."flush-write-stream-1.1.1" sources."forever-agent-0.6.1" @@ -48464,11 +49103,11 @@ in sources."mime-types-2.1.26" sources."mimic-fn-2.1.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-2.9.0" sources."minizlib-1.3.3" sources."mississippi-3.0.0" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" (sources."move-concurrently-1.0.1" // { dependencies = [ sources."rimraf-2.7.1" @@ -48656,7 +49295,7 @@ in sources."deep-freeze-node-1.1.3" sources."esprima-4.0.1" sources."js-yaml-3.13.1" - sources."json5-2.1.1" + sources."json5-2.1.2" sources."lodash.clonedeep-4.5.0" sources."map-obj-4.1.0" sources."minimist-1.2.5" @@ -48706,9 +49345,14 @@ in sources."async-lock-1.2.2" sources."balanced-match-1.0.0" sources."base64-js-0.0.2" - sources."bl-4.0.1" + sources."bl-4.0.2" sources."bops-0.0.7" sources."brace-expansion-1.1.11" + (sources."buffer-5.5.0" // { + dependencies = [ + sources."base64-js-1.3.1" + ]; + }) sources."buffer-crc32-0.2.13" sources."buffer-equal-1.0.0" sources."cache-directory-2.0.0" @@ -48828,6 +49472,7 @@ in sources."handlebars-4.5.3" sources."has-symbols-1.0.1" sources."http-cache-semantics-4.1.0" + sources."ieee754-1.1.13" sources."ignore-5.1.4" sources."inflight-1.0.6" sources."inherits-2.0.4" @@ -48846,7 +49491,7 @@ in sources."js-yaml-3.13.1" sources."json-buffer-3.0.0" sources."json-stable-stringify-without-jsonify-1.0.1" - sources."json5-2.1.1" + sources."json5-2.1.2" sources."jsonfile-4.0.0" sources."keyv-3.1.0" (sources."lazystream-1.0.0" // { @@ -49185,26 +49830,21 @@ in sources."@apollographql/graphql-language-service-utils-2.0.2" sources."@apollographql/graphql-playground-html-1.6.24" sources."@babel/code-frame-7.8.3" - (sources."@babel/compat-data-7.8.6" // { + (sources."@babel/compat-data-7.9.0" // { dependencies = [ sources."semver-5.7.1" ]; }) - (sources."@babel/core-7.8.7" // { + (sources."@babel/core-7.9.0" // { dependencies = [ - sources."@babel/generator-7.8.8" - sources."@babel/types-7.8.7" + sources."@babel/generator-7.9.4" + sources."@babel/types-7.9.0" sources."semver-5.7.1" ]; }) sources."@babel/generator-7.8.6" sources."@babel/helper-annotate-as-pure-7.8.3" sources."@babel/helper-builder-binary-assignment-operator-visitor-7.8.3" - (sources."@babel/helper-call-delegate-7.8.7" // { - dependencies = [ - sources."@babel/types-7.8.7" - ]; - }) (sources."@babel/helper-compilation-targets-7.8.7" // { dependencies = [ sources."semver-5.7.1" @@ -49219,7 +49859,11 @@ in sources."@babel/helper-hoist-variables-7.8.3" sources."@babel/helper-member-expression-to-functions-7.8.3" sources."@babel/helper-module-imports-7.8.3" - sources."@babel/helper-module-transforms-7.8.6" + (sources."@babel/helper-module-transforms-7.9.0" // { + dependencies = [ + sources."@babel/types-7.9.0" + ]; + }) sources."@babel/helper-optimise-call-expression-7.8.3" sources."@babel/helper-plugin-utils-7.8.3" sources."@babel/helper-regex-7.8.3" @@ -49227,24 +49871,31 @@ in sources."@babel/helper-replace-supers-7.8.6" sources."@babel/helper-simple-access-7.8.3" sources."@babel/helper-split-export-declaration-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" sources."@babel/helper-wrap-function-7.8.3" - sources."@babel/helpers-7.8.4" - sources."@babel/highlight-7.8.3" - sources."@babel/parser-7.8.8" + (sources."@babel/helpers-7.9.2" // { + dependencies = [ + sources."@babel/types-7.9.0" + ]; + }) + sources."@babel/highlight-7.9.0" + sources."@babel/parser-7.9.4" sources."@babel/plugin-proposal-async-generator-functions-7.8.3" sources."@babel/plugin-proposal-class-properties-7.8.3" sources."@babel/plugin-proposal-dynamic-import-7.8.3" sources."@babel/plugin-proposal-json-strings-7.8.3" sources."@babel/plugin-proposal-nullish-coalescing-operator-7.8.3" - sources."@babel/plugin-proposal-object-rest-spread-7.8.3" + sources."@babel/plugin-proposal-numeric-separator-7.8.3" + sources."@babel/plugin-proposal-object-rest-spread-7.9.0" sources."@babel/plugin-proposal-optional-catch-binding-7.8.3" - sources."@babel/plugin-proposal-optional-chaining-7.8.3" + sources."@babel/plugin-proposal-optional-chaining-7.9.0" sources."@babel/plugin-proposal-unicode-property-regex-7.8.8" sources."@babel/plugin-syntax-async-generators-7.8.4" sources."@babel/plugin-syntax-dynamic-import-7.8.3" sources."@babel/plugin-syntax-flow-7.8.3" sources."@babel/plugin-syntax-json-strings-7.8.3" sources."@babel/plugin-syntax-nullish-coalescing-operator-7.8.3" + sources."@babel/plugin-syntax-numeric-separator-7.8.3" sources."@babel/plugin-syntax-object-rest-spread-7.8.3" sources."@babel/plugin-syntax-optional-catch-binding-7.8.3" sources."@babel/plugin-syntax-optional-chaining-7.8.3" @@ -49254,25 +49905,25 @@ in sources."@babel/plugin-transform-async-to-generator-7.8.3" sources."@babel/plugin-transform-block-scoped-functions-7.8.3" sources."@babel/plugin-transform-block-scoping-7.8.3" - sources."@babel/plugin-transform-classes-7.8.6" + sources."@babel/plugin-transform-classes-7.9.2" sources."@babel/plugin-transform-computed-properties-7.8.3" sources."@babel/plugin-transform-destructuring-7.8.8" sources."@babel/plugin-transform-dotall-regex-7.8.3" sources."@babel/plugin-transform-duplicate-keys-7.8.3" sources."@babel/plugin-transform-exponentiation-operator-7.8.3" - sources."@babel/plugin-transform-flow-strip-types-7.8.3" - sources."@babel/plugin-transform-for-of-7.8.6" + sources."@babel/plugin-transform-flow-strip-types-7.9.0" + sources."@babel/plugin-transform-for-of-7.9.0" sources."@babel/plugin-transform-function-name-7.8.3" sources."@babel/plugin-transform-literals-7.8.3" sources."@babel/plugin-transform-member-expression-literals-7.8.3" - sources."@babel/plugin-transform-modules-amd-7.8.3" - sources."@babel/plugin-transform-modules-commonjs-7.8.3" - sources."@babel/plugin-transform-modules-systemjs-7.8.3" - sources."@babel/plugin-transform-modules-umd-7.8.3" + sources."@babel/plugin-transform-modules-amd-7.9.0" + sources."@babel/plugin-transform-modules-commonjs-7.9.0" + sources."@babel/plugin-transform-modules-systemjs-7.9.0" + sources."@babel/plugin-transform-modules-umd-7.9.0" sources."@babel/plugin-transform-named-capturing-groups-regex-7.8.3" sources."@babel/plugin-transform-new-target-7.8.3" sources."@babel/plugin-transform-object-super-7.8.3" - sources."@babel/plugin-transform-parameters-7.8.8" + sources."@babel/plugin-transform-parameters-7.9.3" sources."@babel/plugin-transform-property-literals-7.8.3" sources."@babel/plugin-transform-regenerator-7.8.7" sources."@babel/plugin-transform-reserved-words-7.8.3" @@ -49281,30 +49932,36 @@ in sources."@babel/plugin-transform-sticky-regex-7.8.3" sources."@babel/plugin-transform-template-literals-7.8.3" sources."@babel/plugin-transform-typeof-symbol-7.8.4" - sources."@babel/plugin-transform-typescript-7.8.7" + sources."@babel/plugin-transform-typescript-7.9.4" sources."@babel/plugin-transform-unicode-regex-7.8.3" - (sources."@babel/preset-env-7.8.7" // { + (sources."@babel/preset-env-7.9.0" // { dependencies = [ - sources."@babel/types-7.8.7" + sources."@babel/types-7.9.0" sources."semver-5.7.1" ]; }) - sources."@babel/preset-flow-7.8.3" - sources."@babel/preset-typescript-7.8.3" - (sources."@babel/register-7.8.6" // { + sources."@babel/preset-flow-7.9.0" + sources."@babel/preset-modules-0.1.3" + sources."@babel/preset-typescript-7.9.0" + (sources."@babel/register-7.9.0" // { dependencies = [ sources."make-dir-2.1.0" sources."pify-4.0.1" sources."semver-5.7.1" ]; }) - (sources."@babel/runtime-7.8.7" // { + (sources."@babel/runtime-7.9.2" // { dependencies = [ sources."regenerator-runtime-0.13.5" ]; }) sources."@babel/template-7.8.6" - sources."@babel/traverse-7.8.6" + (sources."@babel/traverse-7.9.0" // { + dependencies = [ + sources."@babel/generator-7.9.4" + sources."@babel/types-7.9.0" + ]; + }) sources."@babel/types-7.8.6" sources."@endemolshinegroup/cosmiconfig-typescript-loader-1.0.1" sources."@hapi/address-2.1.4" @@ -49391,7 +50048,7 @@ in sources."@types/cors-2.8.6" sources."@types/events-3.0.0" sources."@types/express-4.17.2" - sources."@types/express-serve-static-core-4.17.2" + sources."@types/express-serve-static-core-4.17.3" sources."@types/fs-capacitor-2.0.0" sources."@types/glob-7.1.1" sources."@types/graphql-upload-8.0.3" @@ -49402,7 +50059,7 @@ in sources."@types/long-4.0.1" sources."@types/mime-2.0.1" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" (sources."@types/node-fetch-2.5.5" // { dependencies = [ sources."form-data-3.0.0" @@ -49566,7 +50223,7 @@ in }) sources."brace-expansion-1.1.11" sources."braces-2.3.2" - sources."browserslist-4.9.1" + sources."browserslist-4.11.0" sources."buffer-5.5.0" sources."buffer-alloc-1.2.0" sources."buffer-alloc-unsafe-1.1.0" @@ -49584,7 +50241,7 @@ in sources."callsites-2.0.0" sources."camel-case-3.0.0" sources."camelcase-4.1.0" - sources."caniuse-lite-1.0.30001035" + sources."caniuse-lite-1.0.30001036" sources."capture-stack-trace-1.0.1" sources."cardinal-2.1.1" sources."caseless-0.12.0" @@ -49748,7 +50405,7 @@ in sources."ecc-jsbn-0.1.2" sources."ee-first-1.1.1" sources."ejs-2.7.4" - sources."electron-to-chromium-1.3.376" + sources."electron-to-chromium-1.3.383" sources."elegant-spinner-1.0.1" sources."emoji-regex-7.0.3" sources."encodeurl-1.0.2" @@ -49757,7 +50414,7 @@ in sources."env-ci-3.2.2" sources."envinfo-7.5.0" sources."error-ex-1.3.2" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."escape-html-1.0.3" sources."escape-string-regexp-1.0.5" @@ -49832,7 +50489,7 @@ in }) sources."find-up-3.0.0" sources."fkill-6.2.0" - sources."flow-parser-0.120.1" + sources."flow-parser-0.121.0" sources."for-in-1.0.2" sources."forever-agent-0.6.1" sources."form-data-2.3.3" @@ -49845,7 +50502,7 @@ in sources."fs-exists-sync-0.1.0" sources."fs-extra-7.0.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."fswin-2.17.1227" sources."function-bind-1.1.1" sources."gaze-1.1.3" @@ -50006,7 +50663,7 @@ in sources."is-windows-1.0.2" sources."is-wsl-1.1.0" sources."isarray-1.0.0" - sources."isbinaryfile-4.0.4" + sources."isbinaryfile-4.0.5" sources."isexe-2.0.0" sources."isobject-3.0.1" sources."isstream-0.1.2" @@ -50025,7 +50682,7 @@ in sources."json-schema-0.2.3" sources."json-schema-traverse-0.4.1" sources."json-stringify-safe-5.0.1" - sources."json5-2.1.1" + sources."json5-2.1.2" sources."jsonfile-4.0.0" sources."jsprim-1.4.1" sources."kind-of-6.0.3" @@ -50119,11 +50776,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."moment-2.24.0" sources."ms-2.0.0" sources."mute-stream-0.0.7" @@ -50250,6 +50903,7 @@ in sources."pinkie-promise-2.0.1" sources."pirates-4.0.1" sources."pkg-dir-3.0.0" + sources."pkg-up-3.1.0" (sources."portfinder-1.0.25" // { dependencies = [ sources."debug-3.2.6" @@ -50296,7 +50950,7 @@ in sources."regenerate-1.4.0" sources."regenerate-unicode-properties-8.2.0" sources."regenerator-runtime-0.10.5" - sources."regenerator-transform-0.14.3" + sources."regenerator-transform-0.14.4" (sources."regex-not-1.0.2" // { dependencies = [ sources."extend-shallow-3.0.2" @@ -50325,7 +50979,7 @@ in sources."ret-0.1.15" sources."retry-0.12.0" sources."rimraf-2.7.1" - sources."rss-parser-3.7.5" + sources."rss-parser-3.7.6" sources."run-async-2.4.0" sources."rxjs-6.5.4" sources."safe-buffer-5.2.0" @@ -50545,7 +51199,7 @@ in sources."treeify-1.1.0" sources."trim-repeated-1.0.0" sources."ts-invariant-0.4.4" - sources."ts-node-8.7.0" + sources."ts-node-8.8.1" sources."tslib-1.11.1" sources."tty-1.0.1" sources."tunnel-agent-0.6.0" @@ -50793,11 +51447,12 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/generator-7.8.8" - sources."@babel/highlight-7.8.3" - sources."@babel/parser-7.8.8" + sources."@babel/generator-7.9.4" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/highlight-7.9.0" + sources."@babel/parser-7.9.4" sources."@babel/template-7.8.6" - sources."@babel/types-7.8.7" + sources."@babel/types-7.9.0" sources."@webassemblyjs/ast-1.9.0" sources."@webassemblyjs/floating-point-hex-parser-1.9.0" sources."@webassemblyjs/helper-api-error-1.9.0" @@ -50819,7 +51474,6 @@ in sources."color-name-1.1.3" sources."commander-2.20.3" sources."escape-string-regexp-1.0.5" - sources."esutils-2.0.3" sources."has-flag-3.0.0" sources."js-tokens-4.0.0" sources."jsesc-2.5.2" @@ -50878,25 +51532,32 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - (sources."@babel/core-7.8.7" // { + (sources."@babel/core-7.9.0" // { dependencies = [ sources."source-map-0.5.7" ]; }) - (sources."@babel/generator-7.8.8" // { + (sources."@babel/generator-7.9.4" // { dependencies = [ sources."source-map-0.5.7" ]; }) sources."@babel/helper-function-name-7.8.3" sources."@babel/helper-get-function-arity-7.8.3" + sources."@babel/helper-member-expression-to-functions-7.8.3" + sources."@babel/helper-module-imports-7.8.3" + sources."@babel/helper-module-transforms-7.9.0" + sources."@babel/helper-optimise-call-expression-7.8.3" + sources."@babel/helper-replace-supers-7.8.6" + sources."@babel/helper-simple-access-7.8.3" sources."@babel/helper-split-export-declaration-7.8.3" - sources."@babel/helpers-7.8.4" - sources."@babel/highlight-7.8.3" - sources."@babel/parser-7.8.8" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/helpers-7.9.2" + sources."@babel/highlight-7.9.0" + sources."@babel/parser-7.9.4" sources."@babel/template-7.8.6" - sources."@babel/traverse-7.8.6" - sources."@babel/types-7.8.7" + sources."@babel/traverse-7.9.0" + sources."@babel/types-7.9.0" sources."JSV-4.0.2" sources."ansi-styles-3.2.1" sources."array-unique-0.3.2" @@ -50915,7 +51576,6 @@ in sources."ejs-2.5.7" sources."ensure-posix-path-1.1.1" sources."escape-string-regexp-1.0.5" - sources."esutils-2.0.3" sources."fs-extra-5.0.0" sources."function-bind-1.1.1" sources."gensync-1.0.0-beta.1" @@ -50942,7 +51602,7 @@ in sources."isexe-2.0.0" sources."js-tokens-4.0.0" sources."jsesc-2.5.2" - sources."json5-2.1.1" + sources."json5-2.1.2" sources."jsonfile-4.0.0" sources."jsonlint-1.6.2" sources."lodash-4.17.15" @@ -50990,20 +51650,20 @@ in asar = nodeEnv.buildNodePackage { name = "asar"; packageName = "asar"; - version = "3.0.1"; + version = "3.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/asar/-/asar-3.0.1.tgz"; - sha512 = "43sVUKSX0VLNfavair0OLzPoVX5rRdqAxcUUhtwKLGkKQPs2ePreOG7zpp3gZOLvZGhZxixD9JZBxWKgqH8r1g=="; + url = "https://registry.npmjs.org/asar/-/asar-3.0.2.tgz"; + sha512 = "nFtY0kToUcMEAY8f6qmeyqn+HZzovwlKsbzf5yjEnzOksFzJLTxWvP2jbzItFT04BdaL3CZKRApTr5iRofVq6g=="; }; dependencies = [ sources."@types/events-3.0.0" sources."@types/glob-7.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."balanced-match-1.0.0" sources."brace-expansion-1.1.11" sources."chromium-pickle-js-0.2.0" - sources."commander-4.1.1" + sources."commander-5.0.0" sources."concat-map-0.0.1" sources."fs.realpath-1.0.0" sources."glob-7.1.6" @@ -51251,11 +51911,7 @@ in sources."mime-db-1.43.0" sources."minimatch-3.0.4" sources."minimist-1.2.5" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."natives-1.1.6" sources."normalize-package-data-2.5.0" @@ -51374,11 +52030,7 @@ in sources."defined-1.0.0" sources."deps-sort-2.0.1" sources."des.js-1.0.1" - (sources."detective-5.2.0" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."detective-5.2.0" sources."diffie-hellman-5.0.3" sources."domain-browser-1.2.0" sources."duplexer2-0.1.4" @@ -51413,8 +52065,8 @@ in sources."minimalistic-assert-1.0.1" sources."minimalistic-crypto-utils-1.0.1" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."module-deps-6.2.2" sources."object-assign-4.1.1" sources."once-1.4.0" @@ -51460,11 +52112,7 @@ in }) sources."stream-splicer-2.0.1" sources."string_decoder-1.3.0" - (sources."subarg-1.0.0" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."subarg-1.0.0" sources."syntax-error-1.4.0" sources."through-2.3.8" sources."through2-2.0.5" @@ -51629,8 +52277,7 @@ in sources."form-data-2.3.3" (sources."fs-chunk-store-1.7.0" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."thunky-1.1.0" ]; }) @@ -51772,8 +52419,7 @@ in sources."query-string-1.0.1" (sources."random-access-file-2.1.3" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."random-access-storage-1.4.1" @@ -52164,13 +52810,13 @@ in coc-metals = nodeEnv.buildNodePackage { name = "coc-metals"; packageName = "coc-metals"; - version = "0.7.2"; + version = "0.7.4"; src = fetchurl { - url = "https://registry.npmjs.org/coc-metals/-/coc-metals-0.7.2.tgz"; - sha512 = "j0pzvL9swPwN4sW/abHRAtm1Pc0TNMT7YwItkA8F90kaUxdfF0JQaR3AvDe1/YxFUlNHU92F2R0g2VAdcSzWEg=="; + url = "https://registry.npmjs.org/coc-metals/-/coc-metals-0.7.4.tgz"; + sha512 = "5gE7m9/Cm/HYFfW4QcpGdVK9waWTQT0pyxSSrvhIjbRjAYv+uspsCUrg6ODxruIakHaRpvqrdhodRt2cnF0NJg=="; }; dependencies = [ - sources."@babel/runtime-7.8.7" + sources."@babel/runtime-7.9.2" sources."@chemzqm/neovim-5.1.9" sources."async-2.6.3" sources."await-semaphore-0.1.3" @@ -52178,7 +52824,7 @@ in sources."brace-expansion-1.1.11" sources."bser-2.1.1" sources."chownr-1.1.4" - sources."coc.nvim-0.0.76" + sources."coc.nvim-0.0.77" sources."concat-map-0.0.1" sources."date-format-2.1.0" sources."debounce-1.2.0" @@ -52224,10 +52870,10 @@ in ]; }) sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-2.9.0" sources."minizlib-1.3.3" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."msgpack-lite-0.1.26" (sources."mv-2.1.1" // { @@ -52241,12 +52887,8 @@ in sources."node-int64-0.4.0" sources."once-1.4.0" sources."path-is-absolute-1.0.1" - sources."promisify-child-process-3.1.3" - (sources."rc-1.2.8" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."promisify-child-process-3.1.4" + sources."rc-1.2.8" sources."regenerator-runtime-0.13.5" sources."rfc-3986-1.0.1" sources."rfdc-1.1.4" @@ -52314,7 +52956,8 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/highlight-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/highlight-7.9.0" sources."@mrmlnc/readdir-enhanced-2.2.1" sources."@nodelib/fs.stat-1.1.3" sources."@types/eslint-visitor-keys-1.0.0" @@ -52369,7 +53012,7 @@ in sources."callsites-3.1.0" sources."camelcase-2.1.1" sources."camelcase-keys-2.1.0" - sources."caniuse-lite-1.0.30001035" + sources."caniuse-lite-1.0.30001036" sources."capture-stack-trace-1.0.1" sources."ccount-1.0.5" sources."chalk-2.4.2" @@ -52455,7 +53098,7 @@ in sources."domutils-1.7.0" sources."dot-prop-5.2.0" sources."duplexer3-0.1.4" - sources."electron-to-chromium-1.3.376" + sources."electron-to-chromium-1.3.383" sources."emoji-regex-7.0.3" sources."end-of-stream-1.4.4" sources."entities-1.1.2" @@ -52471,7 +53114,11 @@ in sources."eslint-visitor-keys-1.1.0" sources."espree-5.0.1" sources."esprima-4.0.1" - sources."esquery-1.1.0" + (sources."esquery-1.2.0" // { + dependencies = [ + sources."estraverse-5.0.0" + ]; + }) sources."esrecurse-4.2.1" sources."estraverse-4.3.0" sources."esutils-2.0.3" @@ -52738,23 +53385,19 @@ in sources."mimic-fn-2.1.0" ]; }) - (sources."meow-3.7.0" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."meow-3.7.0" sources."merge2-1.3.0" sources."micromatch-2.3.11" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minimist-options-3.0.2" (sources."mixin-deep-1.3.2" // { dependencies = [ sources."is-extendable-1.0.1" ]; }) - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."mute-stream-0.0.7" (sources."nanomatch-1.2.13" // { @@ -52896,11 +53539,7 @@ in sources."kind-of-6.0.3" ]; }) - (sources."rc-1.2.8" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."rc-1.2.8" sources."read-pkg-1.1.0" (sources."read-pkg-up-1.0.1" // { dependencies = [ @@ -53046,7 +53685,6 @@ in sources."load-json-file-4.0.0" sources."map-obj-2.0.0" sources."meow-4.0.1" - sources."minimist-1.2.5" sources."parse-json-4.0.0" sources."path-type-3.0.0" sources."read-pkg-3.0.0" @@ -53291,27 +53929,34 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - (sources."@babel/core-7.8.7" // { + (sources."@babel/core-7.9.0" // { dependencies = [ sources."semver-5.7.1" ]; }) - sources."@babel/generator-7.8.8" + sources."@babel/generator-7.9.4" sources."@babel/helper-function-name-7.8.3" sources."@babel/helper-get-function-arity-7.8.3" + sources."@babel/helper-member-expression-to-functions-7.8.3" + sources."@babel/helper-module-imports-7.8.3" + sources."@babel/helper-module-transforms-7.9.0" + sources."@babel/helper-optimise-call-expression-7.8.3" + sources."@babel/helper-replace-supers-7.8.6" + sources."@babel/helper-simple-access-7.8.3" sources."@babel/helper-split-export-declaration-7.8.3" - sources."@babel/helpers-7.8.4" - sources."@babel/highlight-7.8.3" - sources."@babel/parser-7.8.8" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/helpers-7.9.2" + sources."@babel/highlight-7.9.0" + sources."@babel/parser-7.9.4" sources."@babel/template-7.8.6" - sources."@babel/traverse-7.8.6" - sources."@babel/types-7.8.7" + sources."@babel/traverse-7.9.0" + sources."@babel/types-7.9.0" sources."@mrmlnc/readdir-enhanced-2.2.1" sources."@nodelib/fs.stat-1.1.3" sources."@types/events-3.0.0" sources."@types/glob-7.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."@types/unist-2.0.3" sources."@types/vfile-3.0.2" sources."@types/vfile-message-2.0.0" @@ -53336,7 +53981,7 @@ in sources."assign-symbols-1.0.0" sources."astral-regex-1.0.0" sources."atob-2.1.2" - (sources."autoprefixer-9.7.4" // { + (sources."autoprefixer-9.7.5" // { dependencies = [ sources."postcss-value-parser-4.0.3" ]; @@ -53354,7 +53999,7 @@ in sources."extend-shallow-2.0.1" ]; }) - sources."browserslist-4.9.1" + sources."browserslist-4.11.0" sources."cache-base-1.0.1" sources."call-me-maybe-1.0.1" sources."caller-callsite-2.0.0" @@ -53362,7 +54007,7 @@ in sources."callsites-2.0.0" sources."camelcase-4.1.0" sources."camelcase-keys-4.2.0" - sources."caniuse-lite-1.0.30001035" + sources."caniuse-lite-1.0.30001036" sources."ccount-1.0.5" sources."chalk-2.4.2" sources."character-entities-1.2.4" @@ -53421,13 +54066,12 @@ in sources."domhandler-2.4.2" sources."domutils-1.7.0" sources."dot-prop-5.2.0" - sources."electron-to-chromium-1.3.376" + sources."electron-to-chromium-1.3.383" sources."emoji-regex-8.0.0" sources."entities-1.1.2" sources."error-ex-1.3.2" sources."escape-string-regexp-1.0.5" sources."esprima-4.0.1" - sources."esutils-2.0.3" sources."execall-2.0.0" (sources."expand-brackets-2.1.4" // { dependencies = [ @@ -53474,7 +54118,7 @@ in sources."extend-shallow-2.0.1" ]; }) - sources."find-up-2.1.0" + sources."find-up-3.0.0" sources."flat-cache-2.0.1" sources."flatted-2.0.1" sources."for-in-1.0.2" @@ -53565,11 +54209,7 @@ in sources."jsesc-2.5.2" sources."json-parse-better-errors-1.0.2" sources."json-schema-traverse-0.4.1" - (sources."json5-2.1.1" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."json5-2.1.2" sources."kind-of-6.0.3" sources."known-css-properties-0.14.0" sources."leven-3.1.0" @@ -53578,7 +54218,7 @@ in sources."pify-3.0.0" ]; }) - sources."locate-path-2.0.0" + sources."locate-path-3.0.0" sources."lodash-4.17.15" sources."log-symbols-3.0.0" sources."longest-streak-2.0.4" @@ -53601,14 +54241,14 @@ in ]; }) sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minimist-options-3.0.2" (sources."mixin-deep-1.3.2" // { dependencies = [ sources."is-extendable-1.0.1" ]; }) - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."nanomatch-1.2.13" sources."node-releases-1.1.52" @@ -53636,9 +54276,9 @@ in sources."object-visit-1.0.1" sources."object.pick-1.3.0" sources."once-1.4.0" - sources."p-limit-1.3.0" - sources."p-locate-2.0.0" - sources."p-try-1.0.0" + sources."p-limit-2.2.2" + sources."p-locate-3.0.0" + sources."p-try-2.2.0" sources."parse-entities-1.2.2" sources."parse-json-4.0.0" sources."pascalcase-0.1.1" @@ -53651,8 +54291,9 @@ in sources."pify-3.0.0" ]; }) - sources."picomatch-2.2.1" + sources."picomatch-2.2.2" sources."pify-4.0.1" + sources."pkg-up-3.1.0" sources."posix-character-classes-0.1.1" (sources."postcss-7.0.27" // { dependencies = [ @@ -53680,7 +54321,15 @@ in sources."punycode-2.1.1" sources."quick-lru-1.1.0" sources."read-pkg-3.0.0" - sources."read-pkg-up-3.0.0" + (sources."read-pkg-up-3.0.0" // { + dependencies = [ + sources."find-up-2.1.0" + sources."locate-path-2.0.0" + sources."p-limit-1.3.0" + sources."p-locate-2.0.0" + sources."p-try-1.0.0" + ]; + }) sources."readable-stream-3.6.0" sources."redent-2.0.0" sources."regex-not-1.0.2" @@ -53894,7 +54543,8 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/highlight-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/highlight-7.9.0" sources."ansi-styles-3.2.1" sources."argparse-1.0.10" sources."balanced-match-1.0.0" @@ -53908,7 +54558,6 @@ in sources."diff-4.0.2" sources."escape-string-regexp-1.0.5" sources."esprima-4.0.1" - sources."esutils-2.0.3" sources."fs.realpath-1.0.0" sources."glob-7.1.6" sources."has-flag-3.0.0" @@ -53917,8 +54566,8 @@ in sources."js-tokens-4.0.0" sources."js-yaml-3.13.1" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."once-1.4.0" sources."path-is-absolute-1.0.1" sources."path-parse-1.0.6" @@ -53978,13 +54627,13 @@ in coc-tsserver = nodeEnv.buildNodePackage { name = "coc-tsserver"; packageName = "coc-tsserver"; - version = "1.4.11"; + version = "1.4.12"; src = fetchurl { - url = "https://registry.npmjs.org/coc-tsserver/-/coc-tsserver-1.4.11.tgz"; - sha512 = "BMqtvulotRQwgQ/UkpGDz7b+tXXQGbxYJLo3SdmFeDeVkWLBGl/oP1ythlT3aY5K3kuCv0JnDgqpoAc175CERg=="; + url = "https://registry.npmjs.org/coc-tsserver/-/coc-tsserver-1.4.12.tgz"; + sha512 = "JMlIDCgTBXAWh6jsY1c6aMXw50jGRMZoiAYKhX0sYVKrDZznS2ocjKw4m/9yoNPWHIH6gk89BGXXT+7XhtsGWw=="; }; dependencies = [ - sources."typescript-3.7.3" + sources."typescript-3.8.3" ]; buildInputs = globalBuildInputs; meta = { @@ -53999,38 +54648,80 @@ in coc-vetur = nodeEnv.buildNodePackage { name = "coc-vetur"; packageName = "coc-vetur"; - version = "1.1.7"; + version = "1.1.9"; src = fetchurl { - url = "https://registry.npmjs.org/coc-vetur/-/coc-vetur-1.1.7.tgz"; - sha512 = "QhVgqvOAMR/ehil8o+FezjRO6D0j8t/f5Uhn3bBY4dkAoJ0LbYHz+YNT+N0JPRYVgAJQFfRjHVdymWXRuf16wA=="; + url = "https://registry.npmjs.org/coc-vetur/-/coc-vetur-1.1.9.tgz"; + sha512 = "lF5s1hBM/92ZKW9JEjkYrbYYuvjcYirBy+8YgDH0s50iV0pVxd8vBGS/x3z50VNRLx2yp6Elu6bDXAQclp/X5g=="; }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/highlight-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/highlight-7.9.0" sources."@emmetio/extract-abbreviation-0.1.6" sources."@mrmlnc/readdir-enhanced-2.2.1" + (sources."@nodelib/fs.scandir-2.1.3" // { + dependencies = [ + sources."@nodelib/fs.stat-2.0.3" + ]; + }) sources."@nodelib/fs.stat-1.1.3" - sources."@starptech/expression-parser-0.9.0" - sources."@starptech/hast-util-from-webparser-0.9.0" - sources."@starptech/prettyhtml-0.9.0" - sources."@starptech/prettyhtml-formatter-0.9.0" - sources."@starptech/prettyhtml-hast-to-html-0.9.0" - sources."@starptech/prettyhtml-hastscript-0.9.0" - sources."@starptech/prettyhtml-sort-attributes-0.9.0" - sources."@starptech/rehype-minify-whitespace-0.9.0" - sources."@starptech/rehype-webparser-0.9.0" - sources."@starptech/webparser-0.9.0" - sources."@types/node-13.9.1" + sources."@nodelib/fs.walk-1.2.4" + sources."@sindresorhus/is-0.14.0" + sources."@sorg/log-2.1.0" + sources."@starptech/expression-parser-0.10.0" + sources."@starptech/hast-util-from-webparser-0.10.0" + sources."@starptech/prettyhtml-0.10.0" + sources."@starptech/prettyhtml-formatter-0.10.0" + sources."@starptech/prettyhtml-hast-to-html-0.10.0" + sources."@starptech/prettyhtml-hastscript-0.10.0" + sources."@starptech/prettyhtml-sort-attributes-0.10.0" + sources."@starptech/rehype-minify-whitespace-0.10.0" + sources."@starptech/rehype-webparser-0.10.0" + sources."@starptech/webparser-0.10.0" + sources."@szmarczak/http-timer-1.1.2" + sources."@types/color-name-1.1.1" + sources."@types/eslint-visitor-keys-1.0.0" + sources."@types/events-3.0.0" + sources."@types/glob-7.1.1" + sources."@types/json-schema-7.0.4" + sources."@types/minimatch-3.0.3" + sources."@types/node-13.9.3" + sources."@types/normalize-package-data-2.4.0" sources."@types/unist-2.0.3" sources."@types/vfile-3.0.2" sources."@types/vfile-message-2.0.0" + (sources."@typescript-eslint/experimental-utils-1.13.0" // { + dependencies = [ + sources."eslint-scope-4.0.3" + ]; + }) + sources."@typescript-eslint/parser-1.13.0" + (sources."@typescript-eslint/typescript-estree-1.13.0" // { + dependencies = [ + sources."semver-5.5.0" + ]; + }) sources."abbrev-1.1.1" - sources."acorn-6.4.1" + sources."acorn-7.1.1" sources."acorn-jsx-5.2.0" + (sources."aggregate-error-3.0.1" // { + dependencies = [ + sources."indent-string-4.0.0" + ]; + }) sources."ajv-6.12.0" - sources."ajv-keywords-2.1.1" - sources."ansi-align-2.0.0" - sources."ansi-escapes-3.2.0" + (sources."ansi-align-3.0.0" // { + dependencies = [ + sources."ansi-regex-4.1.0" + sources."string-width-3.1.0" + sources."strip-ansi-5.2.0" + ]; + }) + (sources."ansi-escapes-4.3.1" // { + dependencies = [ + sources."type-fest-0.11.0" + ]; + }) sources."ansi-regex-3.0.0" sources."ansi-styles-3.2.1" (sources."anymatch-1.3.2" // { @@ -54062,17 +54753,6 @@ in sources."async-1.5.2" sources."async-each-1.0.3" sources."atob-2.1.2" - (sources."babel-code-frame-6.26.0" // { - dependencies = [ - sources."ansi-regex-2.1.1" - sources."ansi-styles-2.2.1" - sources."chalk-1.1.3" - sources."js-tokens-3.0.2" - sources."strip-ansi-3.0.1" - sources."supports-color-2.0.0" - ]; - }) - sources."babel-runtime-6.26.0" sources."bail-1.0.5" sources."balanced-match-1.0.0" (sources."base-0.11.2" // { @@ -54083,7 +54763,14 @@ in sources."binary-extensions-1.13.1" sources."bindings-1.5.0" sources."bootstrap-vue-helper-json-1.1.1" - sources."boxen-1.3.0" + (sources."boxen-3.2.0" // { + dependencies = [ + sources."ansi-regex-4.1.0" + sources."camelcase-5.3.1" + sources."string-width-3.1.0" + sources."strip-ansi-5.2.0" + ]; + }) sources."brace-expansion-1.1.11" (sources."braces-2.3.2" // { dependencies = [ @@ -54094,16 +54781,16 @@ in sources."buffer-from-1.1.1" sources."builtin-modules-1.1.1" sources."cache-base-1.0.1" - sources."call-me-maybe-1.0.1" - (sources."caller-path-0.1.0" // { + (sources."cacheable-request-6.1.0" // { dependencies = [ - sources."callsites-0.2.0" + sources."get-stream-5.1.0" + sources."lowercase-keys-2.0.0" ]; }) + sources."call-me-maybe-1.0.1" sources."callsites-3.1.0" sources."camelcase-4.1.0" sources."camelcase-keys-4.2.0" - sources."capture-stack-trace-1.0.1" sources."ccount-1.0.5" sources."chalk-2.4.2" sources."character-entities-1.2.4" @@ -54118,8 +54805,7 @@ in sources."is-glob-2.0.1" ]; }) - sources."ci-info-1.6.0" - sources."circular-json-0.3.3" + sources."ci-info-2.0.0" (sources."class-utils-0.3.6" // { dependencies = [ sources."define-property-0.2.5" @@ -54138,12 +54824,13 @@ in sources."kind-of-5.1.0" ]; }) - sources."cli-boxes-1.0.0" - sources."cli-cursor-2.1.0" + sources."clean-stack-2.2.0" + sources."cli-boxes-2.2.0" + sources."cli-cursor-3.1.0" sources."cli-width-2.2.0" sources."cliui-4.1.0" sources."clone-1.0.4" - sources."co-4.6.0" + sources."clone-response-1.0.2" sources."code-point-at-1.1.0" sources."collapse-white-space-1.0.6" sources."collection-visit-1.0.0" @@ -54162,11 +54849,10 @@ in sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."config-chain-1.1.12" - sources."configstore-3.1.2" + sources."configstore-4.0.0" sources."copy-descriptor-0.1.1" - sources."core-js-2.6.11" + sources."core-js-3.6.4" sources."core-util-is-1.0.2" - sources."create-error-class-3.0.2" sources."cross-spawn-5.1.0" sources."crypto-random-string-1.0.0" (sources."css-2.2.4" // { @@ -54175,6 +54861,7 @@ in ]; }) sources."css-parse-2.0.0" + sources."csstype-2.6.9" sources."currently-unhandled-0.4.1" sources."debug-3.2.6" sources."decamelize-1.2.0" @@ -54184,10 +54871,32 @@ in ]; }) sources."decode-uri-component-0.2.0" + sources."decompress-response-3.3.0" sources."deep-extend-0.6.0" sources."deep-is-0.1.3" sources."defaults-1.0.3" + sources."defer-to-connect-1.1.3" sources."define-property-2.0.2" + (sources."del-5.1.0" // { + dependencies = [ + sources."@nodelib/fs.stat-2.0.3" + sources."array-union-2.1.0" + sources."braces-3.0.2" + sources."dir-glob-3.0.1" + sources."fast-glob-3.2.2" + sources."fill-range-7.0.1" + sources."globby-10.0.2" + sources."ignore-5.1.4" + sources."is-number-7.0.0" + sources."is-path-inside-3.0.2" + sources."micromatch-4.0.2" + sources."path-type-4.0.0" + sources."rimraf-3.0.2" + sources."slash-3.0.0" + sources."to-regex-range-5.0.1" + ]; + }) + sources."del-cli-3.0.0" sources."diff-4.0.2" sources."dir-glob-2.0.0" sources."dlv-1.1.3" @@ -54200,26 +54909,32 @@ in sources."end-of-stream-1.4.4" sources."error-ex-1.3.2" sources."escape-string-regexp-1.0.5" - (sources."eslint-5.16.0" // { + (sources."eslint-6.8.0" // { dependencies = [ - sources."cross-spawn-6.0.5" + sources."ansi-regex-4.1.0" + (sources."cross-spawn-6.0.5" // { + dependencies = [ + sources."semver-5.7.1" + ]; + }) sources."debug-4.1.1" sources."ignore-4.0.6" + sources."semver-6.3.0" + sources."strip-ansi-5.2.0" + sources."strip-json-comments-3.0.1" ]; }) - (sources."eslint-plugin-vue-5.2.3" // { - dependencies = [ - sources."debug-4.1.1" - sources."espree-4.1.0" - sources."vue-eslint-parser-5.0.0" - ]; - }) - sources."eslint-scope-4.0.3" + sources."eslint-plugin-vue-6.2.2" + sources."eslint-scope-5.0.0" sources."eslint-utils-1.4.3" sources."eslint-visitor-keys-1.1.0" - sources."espree-5.0.1" + sources."espree-6.2.1" sources."esprima-4.0.1" - sources."esquery-1.1.0" + (sources."esquery-1.2.0" // { + dependencies = [ + sources."estraverse-5.0.0" + ]; + }) sources."esrecurse-4.2.1" sources."estraverse-4.3.0" sources."esutils-2.0.3" @@ -54268,11 +54983,20 @@ in ]; }) sources."fast-deep-equal-3.1.1" - sources."fast-glob-2.2.7" + (sources."fast-glob-2.2.7" // { + dependencies = [ + (sources."glob-parent-3.1.0" // { + dependencies = [ + sources."is-glob-3.1.0" + ]; + }) + ]; + }) sources."fast-json-stable-stringify-2.1.0" sources."fast-levenshtein-2.0.6" + sources."fastq-1.6.1" sources."fault-1.0.4" - sources."figures-2.0.0" + sources."figures-3.2.0" sources."file-entry-cache-5.0.1" sources."file-uri-to-path-1.0.0" sources."filename-regex-2.0.1" @@ -54290,7 +55014,7 @@ in sources."format-0.2.2" sources."fragment-cache-0.2.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."function-bind-1.1.1" sources."functional-red-black-tree-1.0.1" sources."get-caller-file-1.0.3" @@ -54304,16 +55028,20 @@ in sources."is-glob-2.0.1" ]; }) - (sources."glob-parent-3.1.0" // { + sources."glob-parent-5.1.1" + sources."glob-to-regexp-0.3.0" + sources."global-dirs-0.1.1" + (sources."globals-12.4.0" // { dependencies = [ - sources."is-glob-3.1.0" + sources."type-fest-0.8.1" ]; }) - sources."glob-to-regexp-0.3.0" - sources."global-dirs-0.1.1" - sources."globals-11.12.0" sources."globby-8.0.2" - sources."got-6.7.1" + (sources."got-9.6.0" // { + dependencies = [ + sources."get-stream-4.1.0" + ]; + }) sources."graceful-fs-4.2.3" sources."gridsome-helper-json-1.0.3" sources."has-1.0.3" @@ -54330,16 +55058,18 @@ in sources."kind-of-4.0.0" ]; }) + sources."has-yarn-2.1.0" sources."hast-util-embedded-1.0.5" sources."hast-util-has-property-1.0.4" - sources."hast-util-is-body-ok-link-1.0.2" + sources."hast-util-is-body-ok-link-1.0.3" sources."hast-util-is-element-1.0.4" sources."hast-util-parse-selector-2.2.4" - sources."hast-util-to-string-1.0.2" + sources."hast-util-to-string-1.0.3" sources."hast-util-whitespace-1.0.4" sources."hosted-git-info-2.8.8" sources."html-void-elements-1.0.5" - sources."html-whitespace-sensitive-tag-names-1.0.1" + sources."html-whitespace-sensitive-tag-names-1.0.2" + sources."http-cache-semantics-4.1.0" sources."iconv-lite-0.4.24" sources."ignore-3.3.10" (sources."import-fresh-3.2.1" // { @@ -54353,10 +55083,19 @@ in sources."inflight-1.0.6" sources."inherits-2.0.4" sources."ini-1.3.5" - (sources."inquirer-6.5.2" // { + (sources."inquirer-7.1.0" // { dependencies = [ - sources."ansi-regex-4.1.0" - sources."strip-ansi-5.2.0" + sources."ansi-regex-5.0.0" + sources."ansi-styles-4.2.1" + sources."chalk-3.0.0" + sources."color-convert-2.0.1" + sources."color-name-1.1.4" + sources."emoji-regex-8.0.0" + sources."has-flag-4.0.0" + sources."is-fullwidth-code-point-3.0.0" + sources."string-width-4.2.0" + sources."strip-ansi-6.0.0" + sources."supports-color-7.1.0" ]; }) sources."invert-kv-2.0.0" @@ -54366,7 +55105,7 @@ in sources."is-arrayish-0.2.1" sources."is-binary-path-1.0.1" sources."is-buffer-2.0.4" - sources."is-ci-1.2.1" + sources."is-ci-2.0.0" sources."is-data-descriptor-1.0.0" sources."is-decimal-1.0.4" sources."is-descriptor-1.0.2" @@ -54380,7 +55119,7 @@ in sources."is-hexadecimal-1.0.4" sources."is-hidden-1.1.3" sources."is-installed-globally-0.1.0" - sources."is-npm-1.0.0" + sources."is-npm-3.0.0" (sources."is-number-3.0.0" // { dependencies = [ sources."is-buffer-1.1.6" @@ -54389,33 +55128,35 @@ in }) sources."is-obj-1.0.1" sources."is-object-1.0.1" + sources."is-path-cwd-2.2.0" sources."is-path-inside-1.0.1" sources."is-plain-obj-1.1.0" sources."is-plain-object-2.0.4" sources."is-posix-bracket-0.1.1" sources."is-primitive-2.0.0" sources."is-promise-2.1.0" - sources."is-redirect-1.0.0" - sources."is-resolvable-1.1.0" - sources."is-retry-allowed-1.2.0" sources."is-stream-1.1.0" sources."is-utf8-0.2.1" sources."is-windows-1.0.2" + sources."is-yarn-global-0.3.0" sources."isarray-1.0.0" sources."isexe-2.0.0" sources."isobject-3.0.1" sources."js-beautify-1.10.3" sources."js-tokens-4.0.0" sources."js-yaml-3.13.1" + sources."json-buffer-3.0.0" sources."json-parse-better-errors-1.0.2" sources."json-schema-traverse-0.4.1" sources."json-stable-stringify-without-jsonify-1.0.1" - sources."json5-2.1.1" + sources."json5-2.1.2" sources."jsonc-parser-1.0.3" + sources."keyv-3.1.0" sources."kind-of-6.0.3" - sources."latest-version-3.1.0" + sources."latest-version-5.1.0" sources."lcid-2.0.0" sources."levn-0.3.0" + sources."lines-and-columns-1.1.6" sources."load-json-file-4.0.0" sources."load-plugin-2.3.1" sources."locate-path-2.0.0" @@ -54448,11 +55189,7 @@ in sources."map-visit-1.0.0" sources."markdown-table-0.4.0" sources."math-random-1.0.4" - (sources."mem-4.3.0" // { - dependencies = [ - sources."mimic-fn-2.1.0" - ]; - }) + sources."mem-4.3.0" (sources."meow-5.0.0" // { dependencies = [ sources."read-pkg-up-3.0.0" @@ -54460,7 +55197,8 @@ in }) sources."merge2-1.3.0" sources."micromatch-3.1.10" - sources."mimic-fn-1.2.0" + sources."mimic-fn-2.1.0" + sources."mimic-response-1.0.1" sources."minimatch-3.0.4" sources."minimist-1.2.5" sources."minimist-options-3.0.2" @@ -54469,21 +55207,19 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."mout-0.5.0" sources."ms-2.1.2" - sources."mute-stream-0.0.7" + sources."mute-stream-0.0.8" sources."nan-2.14.0" sources."nanomatch-1.2.13" sources."natural-compare-1.4.0" sources."nice-try-1.0.5" + sources."node-fetch-2.6.0" sources."nopt-4.0.3" sources."normalize-package-data-2.5.0" sources."normalize-path-2.1.1" + sources."normalize-url-4.5.0" sources."npm-prefix-1.2.0" sources."npm-run-path-2.0.2" sources."number-is-nan-1.0.1" @@ -54507,7 +55243,7 @@ in sources."object.omit-2.0.1" sources."object.pick-1.3.0" sources."once-1.4.0" - sources."onetime-2.0.1" + sources."onetime-5.1.0" sources."optionator-0.8.3" sources."os-homedir-1.0.2" (sources."os-locale-3.1.0" // { @@ -54519,13 +55255,19 @@ in }) sources."os-tmpdir-1.0.2" sources."osenv-0.1.5" + sources."p-cancelable-1.1.0" sources."p-defer-1.0.0" sources."p-finally-1.0.0" sources."p-is-promise-2.1.0" sources."p-limit-1.3.0" sources."p-locate-2.0.0" + sources."p-map-3.0.0" sources."p-try-1.0.0" - sources."package-json-4.0.1" + (sources."package-json-6.5.0" // { + dependencies = [ + sources."semver-6.3.0" + ]; + }) sources."parent-module-1.0.1" sources."parse-entities-1.2.2" sources."parse-gitignore-1.0.1" @@ -54544,6 +55286,7 @@ in sources."path-key-2.0.1" sources."path-parse-1.0.6" sources."path-type-3.0.0" + sources."picomatch-2.2.2" sources."pify-3.0.0" sources."pinkie-2.0.4" sources."pinkie-promise-2.0.1" @@ -54557,38 +55300,45 @@ in sources."strip-bom-2.0.0" ]; }) - sources."pluralize-7.0.0" sources."posix-character-classes-0.1.1" sources."prelude-ls-1.1.2" - sources."prepend-http-1.0.4" + sources."prepend-http-2.0.0" sources."preserve-0.2.0" sources."prettier-1.19.1" - (sources."prettier-eslint-8.8.2" // { + (sources."prettier-eslint-9.0.1" // { dependencies = [ - sources."acorn-5.7.4" + sources."acorn-6.4.1" (sources."acorn-jsx-3.0.1" // { dependencies = [ sources."acorn-3.3.0" ]; }) - sources."ajv-5.5.2" - sources."chardet-0.4.2" - sources."doctrine-2.1.0" - sources."eslint-4.19.1" - sources."eslint-scope-3.7.3" - sources."espree-3.5.4" - sources."external-editor-2.2.0" - sources."fast-deep-equal-1.1.0" - sources."file-entry-cache-2.0.0" - sources."flat-cache-1.3.4" - sources."inquirer-3.3.0" - sources."json-schema-traverse-0.3.1" - sources."regexpp-1.1.0" - sources."slice-ansi-1.0.0" - sources."table-4.0.2" - sources."typescript-2.9.2" - sources."vue-eslint-parser-2.0.3" - sources."write-0.2.1" + sources."ansi-escapes-3.2.0" + sources."ansi-regex-4.1.0" + sources."cli-cursor-2.1.0" + sources."cross-spawn-6.0.5" + sources."debug-4.1.1" + sources."eslint-5.16.0" + sources."eslint-scope-4.0.3" + sources."espree-5.0.1" + sources."figures-2.0.0" + sources."globals-11.12.0" + sources."ignore-4.0.6" + sources."indent-string-4.0.0" + sources."inquirer-6.5.2" + sources."mimic-fn-1.2.0" + sources."mute-stream-0.0.7" + sources."onetime-2.0.1" + sources."restore-cursor-2.0.0" + sources."strip-ansi-5.2.0" + (sources."vue-eslint-parser-2.0.3" // { + dependencies = [ + sources."acorn-5.7.4" + sources."debug-3.2.6" + sources."eslint-scope-3.7.3" + sources."espree-3.5.4" + ]; + }) ]; }) sources."prettier-tslint-0.4.2" @@ -54608,24 +55358,31 @@ in }) sources."rc-1.2.8" sources."read-pkg-3.0.0" - (sources."read-pkg-up-4.0.0" // { + (sources."read-pkg-up-7.0.1" // { dependencies = [ - sources."find-up-3.0.0" - sources."locate-path-3.0.0" + sources."find-up-4.1.0" + sources."locate-path-5.0.0" sources."p-limit-2.2.2" - sources."p-locate-3.0.0" + sources."p-locate-4.1.0" sources."p-try-2.2.0" + sources."parse-json-5.0.0" + sources."path-exists-4.0.0" + (sources."read-pkg-5.2.0" // { + dependencies = [ + sources."type-fest-0.6.0" + ]; + }) + sources."type-fest-0.8.1" ]; }) sources."readable-stream-2.3.7" sources."readdirp-2.2.1" sources."redent-2.0.0" - sources."regenerator-runtime-0.11.1" sources."regex-cache-0.4.4" sources."regex-not-1.0.2" sources."regexpp-2.0.1" - sources."registry-auth-token-3.4.0" - sources."registry-url-3.1.0" + sources."registry-auth-token-4.1.1" + sources."registry-url-5.1.0" sources."rehype-sort-attribute-values-2.0.1" (sources."remark-5.1.0" // { dependencies = [ @@ -54646,24 +55403,22 @@ in sources."require-directory-2.1.1" sources."require-main-filename-1.0.1" sources."require-relative-0.8.7" - (sources."require-uncached-1.0.3" // { - dependencies = [ - sources."resolve-from-1.0.1" - ]; - }) sources."resolve-1.15.1" sources."resolve-from-5.0.0" sources."resolve-url-0.2.1" - sources."restore-cursor-2.0.0" + sources."responselike-1.0.2" + sources."restore-cursor-3.1.0" sources."ret-0.1.15" + sources."reusify-1.0.4" sources."rimraf-2.6.3" sources."run-async-2.4.0" - sources."rx-lite-4.0.8" - sources."rx-lite-aggregates-4.0.8" + sources."run-parallel-1.1.9" sources."rxjs-6.5.4" + sources."s.color-0.0.13" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" + sources."sass-formatter-0.4.4" sources."sax-1.2.4" sources."semver-5.7.1" sources."semver-diff-2.1.0" @@ -54788,6 +55543,9 @@ in ]; }) sources."stylus-supremacy-2.14.0" + sources."suf-cli-0.1.1" + sources."suf-node-1.1.1" + sources."suf-regex-0.0.14" sources."supports-color-5.5.0" sources."symbol-0.2.3" (sources."table-5.4.6" // { @@ -54800,7 +55558,6 @@ in sources."term-size-1.2.0" sources."text-table-0.2.0" sources."through-2.3.8" - sources."timed-out-4.0.1" sources."tmp-0.0.33" (sources."to-object-path-0.3.0" // { dependencies = [ @@ -54808,13 +55565,10 @@ in sources."kind-of-3.2.2" ]; }) + sources."to-readable-stream-1.0.0" sources."to-regex-3.0.2" sources."to-regex-range-2.1.1" - (sources."to-vfile-5.0.3" // { - dependencies = [ - sources."vfile-3.0.1" - ]; - }) + sources."to-vfile-6.1.0" sources."trim-0.0.1" sources."trim-newlines-2.0.0" sources."trim-trailing-lines-1.1.3" @@ -54823,23 +55577,28 @@ in sources."tslint-5.20.1" sources."tsutils-2.29.0" sources."type-check-0.3.2" + sources."type-fest-0.3.1" sources."typedarray-0.0.6" sources."typescript-3.8.3" - (sources."typescript-eslint-parser-16.0.1" // { - dependencies = [ - sources."semver-5.5.0" - ]; - }) sources."unherit-1.1.3" (sources."unified-7.1.0" // { dependencies = [ + sources."unist-util-stringify-position-1.1.2" sources."vfile-3.0.1" + sources."vfile-message-1.1.1" ]; }) (sources."unified-engine-6.0.1" // { dependencies = [ sources."to-vfile-4.0.0" + sources."unist-util-stringify-position-1.1.2" sources."vfile-3.0.1" + sources."vfile-message-1.1.1" + (sources."vfile-reporter-5.1.2" // { + dependencies = [ + sources."unist-util-stringify-position-2.0.3" + ]; + }) ]; }) sources."union-value-1.0.1" @@ -54849,7 +55608,7 @@ in sources."unist-util-is-2.1.3" sources."unist-util-modify-children-1.1.6" sources."unist-util-remove-position-1.1.4" - sources."unist-util-stringify-position-1.1.2" + sources."unist-util-stringify-position-2.0.3" sources."unist-util-visit-1.4.1" (sources."unist-util-visit-parents-2.1.2" // { dependencies = [ @@ -54867,30 +55626,31 @@ in ]; }) sources."untildify-2.1.0" - sources."unzip-response-2.0.1" - sources."update-notifier-2.5.0" + sources."update-notifier-3.0.1" sources."uri-js-4.2.2" sources."urix-0.1.0" - sources."url-parse-lax-1.0.0" + sources."url-parse-lax-3.0.0" sources."use-3.1.1" sources."user-home-2.0.0" sources."util-deprecate-1.0.2" + sources."v8-compile-cache-2.1.0" sources."validate-npm-package-license-3.0.4" - (sources."vfile-4.0.3" // { - dependencies = [ - sources."unist-util-stringify-position-2.0.3" - sources."vfile-message-2.0.3" - ]; - }) + sources."vfile-4.0.3" sources."vfile-location-2.0.6" - sources."vfile-message-1.1.1" - (sources."vfile-reporter-5.1.2" // { + sources."vfile-message-2.0.3" + (sources."vfile-reporter-6.0.1" // { dependencies = [ - sources."unist-util-stringify-position-2.0.3" + sources."ansi-regex-5.0.0" + sources."emoji-regex-8.0.0" + sources."is-fullwidth-code-point-3.0.0" + sources."string-width-4.2.0" + sources."strip-ansi-6.0.0" + sources."supports-color-6.1.0" ]; }) sources."vfile-sort-2.2.2" sources."vfile-statistics-1.1.4" + sources."vls-0.2.0" (sources."vscode-css-languageservice-4.1.1" // { dependencies = [ sources."vscode-uri-2.1.1" @@ -54898,19 +55658,18 @@ in }) sources."vscode-emmet-helper-1.2.17" sources."vscode-jsonrpc-5.0.1" - sources."vscode-languageserver-5.3.0-next.10" + sources."vscode-languageserver-6.1.1" sources."vscode-languageserver-protocol-3.15.3" sources."vscode-languageserver-textdocument-1.0.1" sources."vscode-languageserver-types-3.15.1" - sources."vscode-nls-4.1.1" - sources."vscode-textbuffer-1.0.0" + sources."vscode-nls-4.1.2" sources."vscode-uri-1.0.8" - (sources."vue-eslint-parser-6.0.5" // { + sources."vscode-web-custom-data-0.1.3" + (sources."vue-eslint-parser-7.0.0" // { dependencies = [ sources."debug-4.1.1" ]; }) - sources."vue-language-server-0.0.62" sources."vue-onsenui-helper-json-1.0.2" sources."wcwidth-1.0.1" sources."which-1.3.1" @@ -55023,7 +55782,7 @@ in }) sources."vscode-languageserver-textdocument-1.0.1" sources."vscode-languageserver-types-3.15.1" - sources."vscode-nls-4.1.1" + sources."vscode-nls-4.1.2" sources."vscode-uri-2.1.1" sources."yaml-ast-parser-custom-tags-0.0.43" sources."yaml-language-server-0.7.2" @@ -55040,10 +55799,10 @@ in coc-yank = nodeEnv.buildNodePackage { name = "coc-yank"; packageName = "coc-yank"; - version = "1.1.3"; + version = "1.1.4"; src = fetchurl { - url = "https://registry.npmjs.org/coc-yank/-/coc-yank-1.1.3.tgz"; - sha512 = "m+hjR2e1Pc4CikJCh1u+XiyeWK7O9aBWWqk6nSkJp22wC8moIVgRa1PYbGpzKAAXem+Ts0BzVw2IRF5EES0MQg=="; + url = "https://registry.npmjs.org/coc-yank/-/coc-yank-1.1.4.tgz"; + sha512 = "8qonhyBfipzni6Fbbdm9hOjUIdLtwPGqnVGxA5DV+Kd4ctvD+FiBRuaWnXWf4QqxC8ATHQyTyoSqrvdXdko4PA=="; }; buildInputs = globalBuildInputs; meta = { @@ -55208,7 +55967,7 @@ in sources."@types/events-3.0.0" sources."@types/glob-7.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."abbrev-1.1.1" sources."accepts-1.3.7" sources."ajv-6.12.0" @@ -55818,7 +56577,7 @@ in sources."@types/events-3.0.0" sources."@types/glob-7.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."aggregate-error-3.0.1" sources."arr-diff-4.0.0" sources."arr-flatten-1.1.0" @@ -56179,7 +56938,7 @@ in sources."@cycle/run-3.4.0" sources."@cycle/time-0.10.1" sources."@types/cookiejar-2.1.1" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."@types/superagent-3.8.2" sources."ansi-escapes-3.2.0" sources."ansi-regex-2.1.1" @@ -56267,7 +57026,7 @@ in sources."performance-now-2.1.0" sources."process-nextick-args-2.0.1" sources."pseudomap-1.0.2" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."quicktask-1.1.0" sources."raf-3.3.2" sources."readable-stream-2.3.7" @@ -56321,10 +57080,10 @@ in create-react-app = nodeEnv.buildNodePackage { name = "create-react-app"; packageName = "create-react-app"; - version = "3.4.0"; + version = "3.4.1"; src = fetchurl { - url = "https://registry.npmjs.org/create-react-app/-/create-react-app-3.4.0.tgz"; - sha512 = "BR5jXjJH6Bz0vHAIoF0pH2K+hX+Frd93UGZjjCUB1k1JJDZbM+QyigTXZ08ddJ02AQ+iYfNyM77WgDwKQBl00g=="; + url = "https://registry.npmjs.org/create-react-app/-/create-react-app-3.4.1.tgz"; + sha512 = "i0Zxiqj8Q2tMJkMousrZdB/vlvtoAZyN49bgAfM4yHhWQUzrpM1rZU7TX1Rg5bbDQ1R8Gk/usNnpkDzEHJdVXg=="; }; dependencies = [ sources."@types/color-name-1.1.1" @@ -56386,8 +57145,8 @@ in sources."lodash-4.17.15" sources."mimic-fn-2.1.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."mute-stream-0.0.8" sources."once-1.4.0" @@ -56880,11 +57639,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."multi-random-access-2.1.1" sources."multicast-dns-7.2.1" @@ -57402,7 +58157,7 @@ in sources."assert-plus-1.0.0" sources."async-2.6.3" sources."asynckit-0.4.0" - sources."aws-sdk-2.639.0" + sources."aws-sdk-2.644.0" sources."aws-sign2-0.7.0" sources."aws4-1.9.1" sources."base64-js-1.3.1" @@ -57555,24 +58310,33 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/core-7.8.7" - sources."@babel/generator-7.8.8" - sources."@babel/helper-builder-react-jsx-7.8.3" + sources."@babel/core-7.9.0" + sources."@babel/generator-7.9.4" + sources."@babel/helper-annotate-as-pure-7.8.3" + sources."@babel/helper-builder-react-jsx-7.9.0" + sources."@babel/helper-builder-react-jsx-experimental-7.9.0" sources."@babel/helper-function-name-7.8.3" sources."@babel/helper-get-function-arity-7.8.3" + sources."@babel/helper-member-expression-to-functions-7.8.3" + sources."@babel/helper-module-imports-7.8.3" + sources."@babel/helper-module-transforms-7.9.0" + sources."@babel/helper-optimise-call-expression-7.8.3" sources."@babel/helper-plugin-utils-7.8.3" + sources."@babel/helper-replace-supers-7.8.6" + sources."@babel/helper-simple-access-7.8.3" sources."@babel/helper-split-export-declaration-7.8.3" - sources."@babel/helpers-7.8.4" - sources."@babel/highlight-7.8.3" - sources."@babel/parser-7.8.8" - sources."@babel/plugin-proposal-object-rest-spread-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/helpers-7.9.2" + sources."@babel/highlight-7.9.0" + sources."@babel/parser-7.9.4" + sources."@babel/plugin-proposal-object-rest-spread-7.9.0" sources."@babel/plugin-syntax-jsx-7.8.3" sources."@babel/plugin-syntax-object-rest-spread-7.8.3" sources."@babel/plugin-transform-destructuring-7.8.8" - sources."@babel/plugin-transform-react-jsx-7.8.3" + sources."@babel/plugin-transform-react-jsx-7.9.4" sources."@babel/template-7.8.6" - sources."@babel/traverse-7.8.6" - sources."@babel/types-7.8.7" + sources."@babel/traverse-7.9.0" + sources."@babel/types-7.9.0" sources."@sindresorhus/is-2.1.0" sources."@szmarczak/http-timer-4.0.5" sources."@types/cacheable-request-6.0.1" @@ -57580,9 +58344,10 @@ in sources."@types/http-cache-semantics-4.0.0" sources."@types/keyv-3.1.1" sources."@types/minimist-1.2.0" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."@types/normalize-package-data-2.4.0" sources."@types/responselike-1.0.0" + sources."@types/yoga-layout-1.9.1" sources."ajv-6.12.0" (sources."ansi-escapes-4.3.1" // { dependencies = [ @@ -57595,7 +58360,7 @@ in sources."arrify-2.0.1" sources."astral-regex-2.0.0" sources."auto-bind-4.0.0" - sources."cacheable-lookup-2.0.0" + sources."cacheable-lookup-2.0.1" (sources."cacheable-request-7.0.1" // { dependencies = [ sources."get-stream-5.1.0" @@ -57610,7 +58375,7 @@ in sources."ci-info-2.0.0" sources."cli-cursor-3.1.0" sources."cli-truncate-2.1.0" - sources."clipboardy-2.2.0" + sources."clipboardy-2.3.0" (sources."clone-response-1.0.2" // { dependencies = [ sources."mimic-response-1.0.1" @@ -57643,7 +58408,6 @@ in sources."env-paths-2.2.0" sources."error-ex-1.3.2" sources."escape-string-regexp-1.0.5" - sources."esutils-2.0.3" sources."execa-1.0.0" sources."fast-deep-equal-3.1.1" sources."fast-json-stable-stringify-2.1.0" @@ -57698,7 +58462,7 @@ in sources."json-parse-better-errors-1.0.2" sources."json-schema-traverse-0.4.1" sources."json-schema-typed-7.0.3" - sources."json5-2.1.1" + sources."json5-2.1.2" sources."keyv-4.0.0" sources."lines-and-columns-1.1.6" sources."locate-path-3.0.0" @@ -57734,7 +58498,7 @@ in sources."mimic-fn-3.0.0" ]; }) - (sources."meow-6.0.1" // { + (sources."meow-6.1.0" // { dependencies = [ sources."type-fest-0.8.1" ]; @@ -57773,8 +58537,8 @@ in sources."pump-3.0.0" sources."punycode-2.1.1" sources."quick-lru-4.0.1" - sources."react-16.13.0" - sources."react-is-16.13.0" + sources."react-16.13.1" + sources."react-is-16.13.1" sources."react-reconciler-0.24.0" (sources."read-pkg-5.2.0" // { dependencies = [ @@ -57845,8 +58609,8 @@ in }) sources."wrappy-1.0.2" sources."write-file-atomic-3.0.3" - sources."yargs-parser-16.1.0" - sources."yoga-layout-prebuilt-1.9.3" + sources."yargs-parser-18.1.1" + sources."yoga-layout-prebuilt-1.9.5" ]; buildInputs = globalBuildInputs; meta = { @@ -57885,7 +58649,8 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/highlight-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/highlight-7.9.0" sources."@types/color-name-1.1.1" sources."acorn-7.1.1" sources."acorn-jsx-5.2.0" @@ -57924,7 +58689,11 @@ in sources."eslint-visitor-keys-1.1.0" sources."espree-6.2.1" sources."esprima-4.0.1" - sources."esquery-1.1.0" + (sources."esquery-1.2.0" // { + dependencies = [ + sources."estraverse-5.0.0" + ]; + }) sources."esrecurse-4.2.1" sources."estraverse-4.3.0" sources."esutils-2.0.3" @@ -57939,7 +58708,7 @@ in sources."fs.realpath-1.0.0" sources."functional-red-black-tree-1.0.1" sources."glob-7.1.6" - sources."glob-parent-5.1.0" + sources."glob-parent-5.1.1" sources."globals-12.4.0" sources."has-flag-3.0.0" sources."iconv-lite-0.4.24" @@ -57972,8 +58741,8 @@ in sources."lodash-4.17.15" sources."mimic-fn-2.1.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."mute-stream-0.0.8" sources."natural-compare-1.4.0" @@ -58050,14 +58819,15 @@ in eslint_d = nodeEnv.buildNodePackage { name = "eslint_d"; packageName = "eslint_d"; - version = "8.1.0"; + version = "8.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/eslint_d/-/eslint_d-8.1.0.tgz"; - sha512 = "cNZFOYG+Quphqj+GPoTNe9nsDCRJo2AjHVpu4RkvAxH8+vR2ckvm7ksr+Lwi+kkKuMf4h+QifTAXJ0HuBkJ7FA=="; + url = "https://registry.npmjs.org/eslint_d/-/eslint_d-8.1.1.tgz"; + sha512 = "eYr8vOwCQynnI8b5e5R07D2JI6jCItT9QZzWKGZnqMs9lKN+z0bvn1ULCNKp0u4mz1V+lLRglIDiSGIkIDDcLw=="; }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/highlight-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/highlight-7.9.0" sources."@types/color-name-1.1.1" sources."acorn-7.1.1" sources."acorn-jsx-5.2.0" @@ -58098,7 +58868,11 @@ in sources."eslint-visitor-keys-1.1.0" sources."espree-6.2.1" sources."esprima-4.0.1" - sources."esquery-1.1.0" + (sources."esquery-1.2.0" // { + dependencies = [ + sources."estraverse-5.0.0" + ]; + }) sources."esrecurse-4.2.1" sources."estraverse-4.3.0" sources."esutils-2.0.3" @@ -58113,7 +58887,7 @@ in sources."fs.realpath-1.0.0" sources."functional-red-black-tree-1.0.1" sources."glob-7.1.6" - sources."glob-parent-5.1.0" + sources."glob-parent-5.1.1" sources."globals-12.4.0" sources."has-flag-3.0.0" sources."iconv-lite-0.4.24" @@ -58146,8 +58920,8 @@ in sources."lodash-4.17.15" sources."mimic-fn-2.1.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."mute-stream-0.0.8" sources."nanolru-1.0.0" @@ -58419,7 +59193,8 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - (sources."@babel/highlight-7.8.3" // { + sources."@babel/helper-validator-identifier-7.9.0" + (sources."@babel/highlight-7.9.0" // { dependencies = [ sources."ansi-styles-3.2.1" sources."chalk-2.4.2" @@ -58460,7 +59235,6 @@ in sources."error-ex-1.3.2" sources."esc-exit-2.0.2" sources."escape-string-regexp-1.0.5" - sources."esutils-2.0.3" sources."execa-4.0.0" sources."external-editor-3.1.0" sources."figures-3.2.0" @@ -58503,7 +59277,7 @@ in sources."lodash-4.17.15" sources."lru-cache-4.1.5" sources."map-obj-4.1.0" - (sources."meow-6.0.1" // { + (sources."meow-6.1.0" // { dependencies = [ sources."type-fest-0.8.1" ]; @@ -58595,7 +59369,7 @@ in sources."which-2.0.2" sources."wrappy-1.0.2" sources."yallist-2.1.2" - sources."yargs-parser-16.1.0" + sources."yargs-parser-18.1.1" ]; buildInputs = globalBuildInputs; meta = { @@ -58703,7 +59477,7 @@ in sources."define-property-2.0.2" sources."defined-0.0.0" sources."director-1.2.7" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-get-iterator-1.1.0" sources."es-to-primitive-1.2.1" (sources."event-stream-0.5.3" // { @@ -58756,7 +59530,7 @@ in sources."forever-monitor-2.0.0" sources."fragment-cache-0.2.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."function-bind-1.1.1" sources."get-value-2.0.6" (sources."glob-7.1.6" // { @@ -58825,9 +59599,9 @@ in sources."minimatch-3.0.4" sources."minimist-0.0.10" sources."mixin-deep-1.3.2" - (sources."mkdirp-0.5.1" // { + (sources."mkdirp-0.5.4" // { dependencies = [ - sources."minimist-0.0.8" + sources."minimist-1.2.5" ]; }) sources."ms-2.0.0" @@ -59108,11 +59882,7 @@ in sources."mime-db-1.43.0" sources."mime-types-2.1.26" sources."minimist-1.2.5" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."moment-2.24.0" sources."moo-0.5.1" sources."ms-2.1.2" @@ -59277,7 +60047,8 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - (sources."@babel/highlight-7.8.3" // { + sources."@babel/helper-validator-identifier-7.9.0" + (sources."@babel/highlight-7.9.0" // { dependencies = [ sources."ansi-styles-3.2.1" sources."chalk-2.4.2" @@ -59352,7 +60123,6 @@ in sources."error-ex-1.3.2" sources."escape-goat-2.1.1" sources."escape-string-regexp-1.0.5" - sources."esutils-2.0.3" sources."execa-4.0.0" sources."external-editor-3.1.0" sources."fast-deep-equal-3.1.1" @@ -59429,7 +60199,7 @@ in sources."lowercase-keys-1.0.1" sources."make-dir-3.0.2" sources."map-obj-4.1.0" - (sources."meow-6.0.1" // { + (sources."meow-6.1.0" // { dependencies = [ sources."type-fest-0.8.1" ]; @@ -59526,7 +60296,7 @@ in sources."wrappy-1.0.2" sources."write-file-atomic-3.0.3" sources."xdg-basedir-4.0.0" - sources."yargs-parser-16.1.0" + sources."yargs-parser-18.1.1" ]; buildInputs = globalBuildInputs; meta = { @@ -59994,11 +60764,7 @@ in sources."yallist-4.0.0" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."mute-stream-0.0.8" sources."negotiator-0.6.2" @@ -60820,7 +61586,7 @@ in sources."fragment-cache-0.2.1" sources."fs-mkdirp-stream-1.0.0" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."function-bind-1.1.1" sources."get-caller-file-1.0.3" sources."get-value-2.0.6" @@ -61625,11 +62391,7 @@ in sources."lodash-4.17.15" sources."mime-1.6.0" sources."minimist-1.2.5" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."opener-1.5.1" (sources."optimist-0.6.1" // { @@ -61638,7 +62400,7 @@ in ]; }) sources."portfinder-1.0.25" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."requires-port-1.0.0" sources."secure-compare-3.0.1" sources."union-0.5.0" @@ -61738,9 +62500,9 @@ in sources."isstream-0.1.2" sources."minimatch-3.0.4" sources."minimist-0.0.10" - (sources."mkdirp-0.5.1" // { + (sources."mkdirp-0.5.4" // { dependencies = [ - sources."minimist-0.0.8" + sources."minimist-1.2.5" ]; }) sources."moment-2.24.0" @@ -61829,7 +62591,7 @@ in sources."inflight-1.0.6" sources."inherits-2.0.4" sources."jquery-3.4.1" - sources."jquery.terminal-2.15.0" + sources."jquery.terminal-2.15.2" sources."jsonfile-2.4.0" sources."keyboardevent-key-polyfill-1.1.0" sources."line-reader-0.4.0" @@ -62046,11 +62808,7 @@ in sources."minimist-1.2.5" sources."minipass-2.9.0" sources."minizlib-1.3.3" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."mute-stream-0.0.8" sources."netmask-1.0.6" @@ -62076,7 +62834,7 @@ in sources."proxy-agent-3.1.1" sources."proxy-from-env-1.1.0" sources."pump-3.0.0" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."raw-body-2.4.1" sources."readable-stream-3.6.0" sources."restore-cursor-2.0.0" @@ -62202,26 +62960,28 @@ in name = "iosevka-build-deps"; packageName = "iosevka-build-deps"; version = "2.3.3"; - src = ../../../pkgs/data/fonts/iosevka; + src = ../../data/fonts/iosevka; dependencies = [ + sources."@types/color-name-1.1.1" sources."JSONStream-1.3.5" sources."abbrev-1.1.1" sources."ajv-6.12.0" sources."amdefine-1.0.1" sources."ansi-regex-2.1.1" - sources."ansi-styles-3.2.1" + sources."ansi-styles-4.2.1" sources."aproba-1.2.0" sources."are-we-there-yet-1.1.5" sources."argparse-1.0.10" sources."asn1-0.2.4" sources."assert-plus-1.0.0" sources."asynckit-0.4.0" + sources."at-least-node-1.0.0" sources."atob-2.1.2" sources."aws-sign2-0.7.0" sources."aws4-1.9.1" sources."balanced-match-1.0.0" sources."bcrypt-pbkdf-1.0.2" - sources."bezier-js-2.5.1" + sources."bezier-js-2.6.0" sources."bindings-1.5.0" sources."block-stream-0.0.9" sources."bluebird-3.7.2" @@ -62230,9 +62990,9 @@ in sources."camelcase-4.1.0" sources."caryll-shapeops-0.3.1" sources."caseless-0.12.0" - sources."chalk-2.4.2" + sources."chalk-3.0.0" sources."child-process-promise-2.2.1" - sources."cli-cursor-2.1.0" + sources."cli-cursor-3.1.0" sources."clipper-lib-1.0.0" (sources."cliui-3.2.0" // { dependencies = [ @@ -62241,8 +63001,8 @@ in }) sources."clone-2.1.2" sources."code-point-at-1.1.0" - sources."color-convert-1.9.3" - sources."color-name-1.1.3" + sources."color-convert-2.0.1" + sources."color-name-1.1.4" sources."colors-1.4.0" sources."combined-stream-1.0.8" sources."complex.js-2.0.11" @@ -62262,11 +63022,9 @@ in sources."delayed-stream-1.0.0" sources."delegates-1.0.0" sources."ecc-jsbn-0.1.2" - sources."emoji-regex-7.0.3" - sources."end-of-stream-1.4.4" + sources."emoji-regex-8.0.0" sources."error-ex-1.3.2" sources."escape-latex-1.2.0" - sources."escape-string-regexp-1.0.5" sources."escodegen-1.14.1" (sources."escope-1.0.3" // { dependencies = [ @@ -62324,7 +63082,7 @@ in sources."graceful-fs-4.2.3" sources."har-schema-2.0.0" sources."har-validator-5.1.3" - sources."has-flag-3.0.0" + sources."has-flag-4.0.0" sources."has-unicode-2.0.1" sources."hosted-git-info-2.8.8" sources."http-signature-1.2.0" @@ -62353,7 +63111,6 @@ in sources."load-json-file-2.0.0" sources."locate-path-2.0.0" sources."lru-cache-4.1.5" - sources."map-age-cleaner-0.1.3" sources."mathjs-5.10.3" sources."megaminx-0.9.0" sources."mem-1.1.0" @@ -62362,11 +63119,10 @@ in sources."mime-types-2.1.26" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."nan-2.14.0" - sources."nice-try-1.0.5" (sources."node-gyp-3.8.0" // { dependencies = [ sources."semver-5.3.0" @@ -62381,7 +63137,11 @@ in sources."oauth-sign-0.9.0" sources."object-assign-4.1.1" sources."once-1.4.0" - sources."onetime-2.0.1" + (sources."onetime-5.1.0" // { + dependencies = [ + sources."mimic-fn-2.1.0" + ]; + }) sources."optionator-0.8.3" sources."os-homedir-1.0.2" sources."os-locale-2.1.0" @@ -62400,9 +63160,7 @@ in sources."yargs-8.0.2" ]; }) - sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.1.0" sources."p-limit-1.3.0" sources."p-locate-2.0.0" sources."p-try-1.0.0" @@ -62440,10 +63198,8 @@ in sources."primitive-quadify-off-curves-0.4.1" sources."process-nextick-args-2.0.1" sources."promise-polyfill-6.1.0" - sources."proper-lockfile-3.2.0" sources."pseudomap-1.0.2" sources."psl-1.7.0" - sources."pump-3.0.0" sources."punycode-2.1.1" sources."qs-6.5.2" sources."read-pkg-2.0.0" @@ -62454,9 +63210,8 @@ in sources."require-main-filename-1.0.1" sources."resolve-1.15.1" sources."resolve-url-0.2.1" - sources."restore-cursor-2.0.0" + sources."restore-cursor-3.1.0" sources."resumer-0.0.0" - sources."retry-0.12.0" sources."rimraf-2.6.3" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" @@ -62498,7 +63253,7 @@ in sources."source-map-0.7.3" ]; }) - sources."supports-color-5.5.0" + sources."supports-color-7.1.0" sources."tar-2.2.2" sources."temp-0.8.4" sources."through-2.3.8" @@ -62522,35 +63277,31 @@ in sources."util-deprecate-1.0.2" sources."uuid-3.4.0" sources."validate-npm-package-license-3.0.4" - (sources."verda-1.0.0-12" // { + (sources."verda-1.0.0" // { dependencies = [ - sources."ansi-regex-4.1.0" + sources."ansi-regex-5.0.0" sources."camelcase-5.3.1" - (sources."cliui-4.1.0" // { - dependencies = [ - sources."ansi-regex-3.0.0" - sources."strip-ansi-4.0.0" - ]; - }) - sources."cross-spawn-6.0.5" - sources."execa-1.0.0" - sources."fast-deep-equal-2.0.1" - sources."find-up-3.0.0" - sources."fs-extra-6.0.1" - sources."get-stream-4.1.0" - sources."invert-kv-2.0.0" - sources."jsonfile-4.0.0" - sources."lcid-2.0.0" - sources."locate-path-3.0.0" - sources."mem-4.3.0" - sources."mimic-fn-2.1.0" - sources."os-locale-3.1.0" + sources."cliui-6.0.0" + sources."find-up-4.1.0" + sources."fs-extra-9.0.0" + sources."get-caller-file-2.0.5" + sources."is-fullwidth-code-point-3.0.0" + sources."jsonfile-6.0.1" + sources."locate-path-5.0.0" sources."p-limit-2.2.2" - sources."p-locate-3.0.0" + sources."p-locate-4.1.0" sources."p-try-2.2.0" - sources."strip-ansi-5.2.0" - sources."yargs-12.0.5" - sources."yargs-parser-11.1.1" + sources."path-exists-4.0.0" + sources."require-main-filename-2.0.0" + sources."string-width-4.2.0" + sources."strip-ansi-6.0.0" + sources."tslib-1.11.1" + sources."universalify-1.0.0" + sources."which-2.0.2" + sources."wrap-ansi-6.2.0" + sources."y18n-4.0.0" + sources."yargs-15.3.1" + sources."yargs-parser-18.1.1" ]; }) sources."verror-1.10.0" @@ -62570,8 +63321,12 @@ in (sources."yargs-14.2.3" // { dependencies = [ sources."ansi-regex-4.1.0" + sources."ansi-styles-3.2.1" sources."camelcase-5.3.1" sources."cliui-5.0.0" + sources."color-convert-1.9.3" + sources."color-name-1.1.3" + sources."emoji-regex-7.0.3" sources."find-up-3.0.0" sources."get-caller-file-2.0.5" sources."is-fullwidth-code-point-2.0.0" @@ -62737,7 +63492,7 @@ in sources."@yarnpkg/lockfile-1.1.0" sources."abab-2.0.3" sources."abbrev-1.1.1" - sources."acorn-5.7.4" + sources."acorn-7.1.1" (sources."acorn-globals-4.3.4" // { dependencies = [ sources."acorn-6.4.1" @@ -62776,7 +63531,6 @@ in sources."asn1-0.2.4" sources."assert-plus-1.0.0" sources."assign-symbols-1.0.0" - sources."async-limiter-1.0.1" sources."async-mutex-0.1.4" sources."asynckit-0.4.0" sources."atob-2.1.2" @@ -62789,9 +63543,10 @@ in ]; }) sources."base-64-0.1.0" + sources."base64-js-1.3.1" sources."base64-stream-1.0.0" sources."bcrypt-pbkdf-1.0.2" - sources."bl-4.0.1" + sources."bl-4.0.2" sources."brace-expansion-1.1.11" (sources."braces-2.3.2" // { dependencies = [ @@ -62799,6 +63554,7 @@ in ]; }) sources."browser-process-hrtime-1.0.0" + sources."buffer-5.5.0" sources."cache-base-1.0.1" sources."camel-case-3.0.0" sources."camelcase-4.1.0" @@ -62859,15 +63615,15 @@ in sources."cross-spawn-6.0.5" sources."crypt-0.0.2" sources."css-2.2.4" - sources."cssom-0.3.8" - sources."cssstyle-1.4.0" - sources."cwise-compiler-1.1.3" - sources."dashdash-1.14.1" - (sources."data-urls-1.1.0" // { + sources."cssom-0.4.4" + (sources."cssstyle-2.2.0" // { dependencies = [ - sources."whatwg-url-7.1.0" + sources."cssom-0.3.8" ]; }) + sources."cwise-compiler-1.1.3" + sources."dashdash-1.14.1" + sources."data-urls-1.1.0" sources."debug-3.2.6" sources."decode-uri-component-0.2.0" sources."decompress-response-4.2.1" @@ -63014,6 +63770,7 @@ in sources."http-errors-1.7.3" sources."http-signature-1.2.0" sources."iconv-lite-0.4.24" + sources."ieee754-1.1.13" sources."ignore-walk-3.0.3" (sources."image-data-uri-2.0.1" // { dependencies = [ @@ -63052,6 +63809,7 @@ in ]; }) sources."iota-array-1.0.0" + sources."ip-regex-2.1.0" sources."is-absolute-0.2.6" sources."is-accessor-descriptor-1.0.0" sources."is-arrayish-0.3.2" @@ -63081,12 +63839,12 @@ in sources."isexe-2.0.0" sources."isobject-3.0.1" sources."isstream-0.1.2" - sources."joplin-turndown-4.0.23" + sources."joplin-turndown-4.0.24" sources."joplin-turndown-plugin-gfm-1.0.12" sources."jpeg-js-0.1.2" sources."js-tokens-4.0.0" sources."jsbn-0.1.1" - sources."jsdom-11.12.0" + sources."jsdom-15.2.1" sources."json-schema-0.2.3" sources."json-schema-traverse-0.4.1" sources."json-stringify-safe-5.0.1" @@ -63102,7 +63860,6 @@ in sources."klaw-1.3.1" sources."klaw-sync-6.0.0" sources."lazyness-1.1.1" - sources."left-pad-1.3.0" sources."levenshtein-1.0.5" sources."levn-0.3.0" sources."linkify-it-2.2.0" @@ -63162,11 +63919,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."moment-2.24.0" sources."ms-2.1.2" (sources."multiparty-4.2.1" // { @@ -63234,7 +63987,7 @@ in sources."p-locate-2.0.0" sources."p-try-1.0.0" sources."param-case-2.1.1" - sources."parse5-4.0.0" + sources."parse5-5.1.0" sources."pascalcase-0.1.1" (sources."patch-package-6.2.1" // { dependencies = [ @@ -63276,10 +64029,15 @@ in (sources."request-2.88.2" // { dependencies = [ sources."form-data-2.3.3" + sources."tough-cookie-2.5.0" ]; }) sources."request-promise-core-1.1.3" - sources."request-promise-native-1.0.8" + (sources."request-promise-native-1.0.8" // { + dependencies = [ + sources."tough-cookie-2.5.0" + ]; + }) sources."requires-port-1.0.0" sources."resolve-url-0.2.1" sources."ret-0.1.15" @@ -63289,6 +64047,7 @@ in sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" sources."sax-1.2.4" + sources."saxes-3.1.11" sources."semver-5.7.1" sources."server-destroy-1.0.1" sources."set-blocking-2.0.0" @@ -63424,7 +64183,7 @@ in sources."q-0.9.7" ]; }) - sources."terminal-kit-1.35.1" + sources."terminal-kit-1.35.2" (sources."tkwidgets-0.5.26" // { dependencies = [ sources."is-fullwidth-code-point-2.0.0" @@ -63441,7 +64200,7 @@ in sources."to-regex-3.0.2" sources."to-regex-range-2.1.1" sources."toidentifier-1.0.0" - sources."tough-cookie-2.5.0" + sources."tough-cookie-3.0.1" sources."tr46-1.0.1" sources."tree-kit-0.6.2" sources."tunnel-agent-0.6.0" @@ -63482,10 +64241,11 @@ in sources."valid-url-1.0.9" sources."verror-1.10.0" sources."w3c-hr-time-1.0.2" + sources."w3c-xmlserializer-1.1.2" sources."webidl-conversions-4.0.2" sources."whatwg-encoding-1.0.5" sources."whatwg-mimetype-2.3.0" - sources."whatwg-url-6.5.0" + sources."whatwg-url-7.1.0" sources."which-1.3.1" sources."which-pm-runs-1.0.0" sources."wide-align-1.1.3" @@ -63498,10 +64258,11 @@ in ]; }) sources."wrappy-1.0.2" - sources."ws-5.2.2" + sources."ws-7.2.3" sources."xml-name-validator-3.0.0" sources."xml2js-0.4.23" sources."xmlbuilder-11.0.1" + sources."xmlchars-2.2.0" sources."yallist-4.0.0" sources."yargs-parser-7.0.0" ]; @@ -63538,8 +64299,8 @@ in sources."ini-1.3.5" sources."lru-cache-4.1.5" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."nopt-4.0.3" sources."once-1.4.0" sources."os-homedir-1.0.2" @@ -63595,7 +64356,7 @@ in sha512 = "Yf1ZKA3r9nvtMWHO1kEuMZTlHOF8uoQ0vyo5eH7SQy5YeIiHM+B0DgKnn+X6y6KDYZcF7G2SPkKF+JORCXWE/A=="; }; dependencies = [ - sources."@babel/parser-7.8.8" + sources."@babel/parser-7.9.4" sources."argparse-1.0.10" sources."bluebird-3.7.2" sources."catharsis-0.8.11" @@ -63610,8 +64371,8 @@ in sources."markdown-it-anchor-5.2.5" sources."marked-0.7.0" sources."mdurl-1.0.1" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."requizzle-0.2.3" sources."sprintf-js-1.0.3" sources."strip-json-comments-3.0.1" @@ -63761,7 +64522,7 @@ in sources."path-loader-1.0.10" sources."process-nextick-args-2.0.1" sources."punycode-2.1.1" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."readable-stream-2.3.7" sources."safe-buffer-5.1.2" sources."slash-3.0.0" @@ -63944,7 +64705,11 @@ in sources."mime-types-2.1.26" sources."mimic-response-1.0.1" sources."minimist-1.2.5" - sources."morgan-1.9.1" + (sources."morgan-1.10.0" // { + dependencies = [ + sources."depd-2.0.0" + ]; + }) sources."ms-2.0.0" sources."nanoid-2.1.11" sources."negotiator-0.6.2" @@ -64045,8 +64810,8 @@ in sources."write-file-atomic-3.0.3" sources."xdg-basedir-4.0.0" sources."y18n-4.0.0" - sources."yargs-15.3.0" - sources."yargs-parser-18.1.0" + sources."yargs-15.3.1" + sources."yargs-parser-18.1.1" ]; buildInputs = globalBuildInputs; meta = { @@ -64160,7 +64925,7 @@ in sources."fs.realpath-1.0.0" sources."fsevents-2.1.2" sources."glob-7.1.6" - sources."glob-parent-5.1.0" + sources."glob-parent-5.1.1" sources."graceful-fs-4.2.3" sources."has-binary2-1.0.3" sources."has-cors-1.1.0" @@ -64203,7 +64968,7 @@ in sources."parseuri-0.0.5" sources."parseurl-1.3.3" sources."path-is-absolute-1.0.1" - sources."picomatch-2.2.1" + sources."picomatch-2.2.2" sources."pseudomap-1.0.2" sources."qjobs-1.2.0" sources."qs-6.7.0" @@ -64751,7 +65516,7 @@ in sources."@types/events-3.0.0" sources."@types/glob-7.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."@zkochan/cmd-shim-3.1.0" sources."JSONStream-1.3.5" sources."abbrev-1.1.1" @@ -64804,7 +65569,7 @@ in sources."builtins-1.0.3" sources."byline-5.0.0" sources."byte-size-5.0.1" - sources."cacache-12.0.3" + sources."cacache-12.0.4" sources."cache-base-1.0.1" sources."call-me-maybe-1.0.1" sources."caller-callsite-2.0.0" @@ -64888,7 +65653,6 @@ in dependencies = [ sources."concat-stream-2.0.0" sources."meow-4.0.1" - sources."minimist-1.2.5" sources."readable-stream-3.6.0" ]; }) @@ -64940,7 +65704,7 @@ in sources."envinfo-7.5.0" sources."err-code-1.1.2" sources."error-ex-1.3.2" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."es6-promise-4.2.8" sources."es6-promisify-5.0.0" @@ -64993,7 +65757,7 @@ in ]; }) sources."fast-json-stable-stringify-2.1.0" - sources."figgy-pudding-3.5.1" + sources."figgy-pudding-3.5.2" sources."figures-2.0.0" (sources."fill-range-4.0.0" // { dependencies = [ @@ -65029,7 +65793,6 @@ in sources."load-json-file-1.1.0" sources."map-obj-1.0.1" sources."meow-3.7.0" - sources."minimist-1.2.5" sources."parse-json-2.2.0" sources."path-exists-2.1.0" sources."path-type-1.1.0" @@ -65050,7 +65813,6 @@ in (sources."git-raw-commits-2.0.0" // { dependencies = [ sources."meow-4.0.1" - sources."minimist-1.2.5" ]; }) (sources."git-remote-origin-url-2.0.0" // { @@ -65061,14 +65823,13 @@ in (sources."git-semver-tags-2.0.3" // { dependencies = [ sources."meow-4.0.1" - sources."minimist-1.2.5" ]; }) sources."git-up-4.0.1" sources."git-url-parse-11.1.2" sources."gitconfiglocal-1.0.0" sources."glob-7.1.6" - sources."glob-parent-5.1.0" + sources."glob-parent-5.1.1" sources."glob-to-regexp-0.3.0" (sources."globby-9.2.0" // { dependencies = [ @@ -65208,7 +65969,7 @@ in sources."mime-types-2.1.26" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minimist-options-3.0.2" sources."minipass-2.9.0" sources."minizlib-1.3.3" @@ -65218,7 +65979,7 @@ in sources."is-extendable-1.0.1" ]; }) - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."mkdirp-promise-5.0.1" sources."modify-values-1.0.1" sources."move-concurrently-1.0.1" @@ -65284,7 +66045,11 @@ in sources."octokit-pagination-methods-1.1.0" sources."once-1.4.0" sources."onetime-2.0.1" - sources."optimist-0.6.1" + (sources."optimist-0.6.1" // { + dependencies = [ + sources."minimist-0.0.10" + ]; + }) sources."os-homedir-1.0.2" sources."os-name-3.1.0" sources."os-tmpdir-1.0.2" @@ -65485,11 +66250,7 @@ in sources."strip-bom-3.0.0" sources."strip-eof-1.0.0" sources."strip-indent-2.0.0" - (sources."strong-log-transformer-2.1.0" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."strong-log-transformer-2.1.0" sources."supports-color-5.5.0" sources."tar-4.4.13" sources."temp-dir-1.0.0" @@ -65646,8 +66407,8 @@ in sources."mime-1.6.0" sources."mime-db-1.43.0" sources."mime-types-2.1.26" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."oauth-sign-0.9.0" sources."performance-now-2.1.0" sources."promise-7.3.1" @@ -65771,7 +66532,7 @@ in sources."debug-2.6.9" sources."decode-uri-component-0.2.0" sources."define-property-2.0.2" - sources."depd-1.1.2" + sources."depd-2.0.0" sources."destroy-1.0.4" sources."duplexer-0.1.1" sources."ee-first-1.1.1" @@ -65819,7 +66580,7 @@ in sources."fragment-cache-0.2.1" sources."fresh-0.5.2" sources."from-0.1.7" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."get-value-2.0.6" (sources."glob-parent-3.1.0" // { dependencies = [ @@ -65834,7 +66595,11 @@ in ]; }) sources."http-auth-3.1.3" - sources."http-errors-1.7.3" + (sources."http-errors-1.7.3" // { + dependencies = [ + sources."depd-1.1.2" + ]; + }) sources."http-parser-js-0.4.10" sources."inherits-2.0.4" sources."is-accessor-descriptor-1.0.0" @@ -65864,7 +66629,7 @@ in sources."mime-db-1.43.0" sources."mime-types-2.1.26" sources."mixin-deep-1.3.2" - sources."morgan-1.9.1" + sources."morgan-1.10.0" sources."ms-2.0.0" sources."nan-2.14.0" sources."nanomatch-1.2.13" @@ -65910,11 +66675,13 @@ in sources."safe-regex-1.1.0" (sources."send-0.17.1" // { dependencies = [ + sources."depd-1.1.2" sources."ms-2.1.1" ]; }) (sources."serve-index-1.9.1" // { dependencies = [ + sources."depd-1.1.2" sources."http-errors-1.6.3" sources."inherits-2.0.3" sources."setprototypeof-1.1.0" @@ -66168,7 +66935,7 @@ in sources."forwarded-0.1.2" sources."fragment-cache-0.2.1" sources."fresh-0.5.2" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."get-value-2.0.6" sources."getpass-0.1.7" sources."github-slugger-1.3.0" @@ -66542,15 +67309,14 @@ in name = "lumo-build-deps"; packageName = "lumo-build-deps"; version = "1.10.1"; - src = ../../../pkgs/development/interpreters/clojurescript/lumo; + src = ../interpreters/clojurescript/lumo; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/compat-data-7.8.6" - sources."@babel/core-7.8.7" - sources."@babel/generator-7.8.8" + sources."@babel/compat-data-7.9.0" + sources."@babel/core-7.9.0" + sources."@babel/generator-7.9.4" sources."@babel/helper-annotate-as-pure-7.8.3" sources."@babel/helper-builder-binary-assignment-operator-visitor-7.8.3" - sources."@babel/helper-call-delegate-7.8.7" sources."@babel/helper-compilation-targets-7.8.7" sources."@babel/helper-create-class-features-plugin-7.8.6" sources."@babel/helper-create-regexp-features-plugin-7.8.8" @@ -66561,7 +67327,7 @@ in sources."@babel/helper-hoist-variables-7.8.3" sources."@babel/helper-member-expression-to-functions-7.8.3" sources."@babel/helper-module-imports-7.8.3" - sources."@babel/helper-module-transforms-7.8.6" + sources."@babel/helper-module-transforms-7.9.0" sources."@babel/helper-optimise-call-expression-7.8.3" sources."@babel/helper-plugin-utils-7.8.3" sources."@babel/helper-regex-7.8.3" @@ -66569,29 +67335,32 @@ in sources."@babel/helper-replace-supers-7.8.6" sources."@babel/helper-simple-access-7.8.3" sources."@babel/helper-split-export-declaration-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" sources."@babel/helper-wrap-function-7.8.3" - sources."@babel/helpers-7.8.4" - (sources."@babel/highlight-7.8.3" // { + sources."@babel/helpers-7.9.2" + (sources."@babel/highlight-7.9.0" // { dependencies = [ sources."chalk-2.4.2" ]; }) - sources."@babel/parser-7.8.8" + sources."@babel/parser-7.9.4" sources."@babel/plugin-external-helpers-7.8.3" sources."@babel/plugin-proposal-async-generator-functions-7.8.3" sources."@babel/plugin-proposal-class-properties-7.8.3" sources."@babel/plugin-proposal-dynamic-import-7.8.3" sources."@babel/plugin-proposal-json-strings-7.8.3" sources."@babel/plugin-proposal-nullish-coalescing-operator-7.8.3" - sources."@babel/plugin-proposal-object-rest-spread-7.8.3" + sources."@babel/plugin-proposal-numeric-separator-7.8.3" + sources."@babel/plugin-proposal-object-rest-spread-7.9.0" sources."@babel/plugin-proposal-optional-catch-binding-7.8.3" - sources."@babel/plugin-proposal-optional-chaining-7.8.3" + sources."@babel/plugin-proposal-optional-chaining-7.9.0" sources."@babel/plugin-proposal-unicode-property-regex-7.8.8" sources."@babel/plugin-syntax-async-generators-7.8.4" sources."@babel/plugin-syntax-bigint-7.8.3" sources."@babel/plugin-syntax-dynamic-import-7.8.3" sources."@babel/plugin-syntax-json-strings-7.8.3" sources."@babel/plugin-syntax-nullish-coalescing-operator-7.8.3" + sources."@babel/plugin-syntax-numeric-separator-7.8.3" sources."@babel/plugin-syntax-object-rest-spread-7.8.3" sources."@babel/plugin-syntax-optional-catch-binding-7.8.3" sources."@babel/plugin-syntax-optional-chaining-7.8.3" @@ -66600,43 +67369,51 @@ in sources."@babel/plugin-transform-async-to-generator-7.8.3" sources."@babel/plugin-transform-block-scoped-functions-7.8.3" sources."@babel/plugin-transform-block-scoping-7.8.3" - sources."@babel/plugin-transform-classes-7.8.6" + sources."@babel/plugin-transform-classes-7.9.2" sources."@babel/plugin-transform-computed-properties-7.8.3" sources."@babel/plugin-transform-destructuring-7.8.8" sources."@babel/plugin-transform-dotall-regex-7.8.3" sources."@babel/plugin-transform-duplicate-keys-7.8.3" sources."@babel/plugin-transform-exponentiation-operator-7.8.3" - sources."@babel/plugin-transform-for-of-7.8.6" + sources."@babel/plugin-transform-for-of-7.9.0" sources."@babel/plugin-transform-function-name-7.8.3" sources."@babel/plugin-transform-literals-7.8.3" sources."@babel/plugin-transform-member-expression-literals-7.8.3" - sources."@babel/plugin-transform-modules-amd-7.8.3" - sources."@babel/plugin-transform-modules-commonjs-7.8.3" - sources."@babel/plugin-transform-modules-systemjs-7.8.3" - sources."@babel/plugin-transform-modules-umd-7.8.3" + sources."@babel/plugin-transform-modules-amd-7.9.0" + sources."@babel/plugin-transform-modules-commonjs-7.9.0" + sources."@babel/plugin-transform-modules-systemjs-7.9.0" + sources."@babel/plugin-transform-modules-umd-7.9.0" sources."@babel/plugin-transform-named-capturing-groups-regex-7.8.3" sources."@babel/plugin-transform-new-target-7.8.3" sources."@babel/plugin-transform-object-super-7.8.3" - sources."@babel/plugin-transform-parameters-7.8.8" + sources."@babel/plugin-transform-parameters-7.9.3" sources."@babel/plugin-transform-property-literals-7.8.3" sources."@babel/plugin-transform-regenerator-7.8.7" sources."@babel/plugin-transform-reserved-words-7.8.3" - sources."@babel/plugin-transform-runtime-7.8.3" + sources."@babel/plugin-transform-runtime-7.9.0" sources."@babel/plugin-transform-shorthand-properties-7.8.3" sources."@babel/plugin-transform-spread-7.8.3" sources."@babel/plugin-transform-sticky-regex-7.8.3" sources."@babel/plugin-transform-template-literals-7.8.3" sources."@babel/plugin-transform-typeof-symbol-7.8.4" sources."@babel/plugin-transform-unicode-regex-7.8.3" - sources."@babel/preset-env-7.8.7" + sources."@babel/preset-env-7.9.0" + sources."@babel/preset-modules-0.1.3" sources."@babel/preset-stage-2-7.8.3" - sources."@babel/runtime-7.8.7" + sources."@babel/runtime-7.9.2" sources."@babel/template-7.8.6" - sources."@babel/traverse-7.8.6" - sources."@babel/types-7.8.7" + sources."@babel/traverse-7.9.0" + sources."@babel/types-7.9.0" sources."@cnakazawa/watch-1.0.4" sources."@comandeer/babel-plugin-banner-5.0.0" - sources."@istanbuljs/load-nyc-config-1.0.0" + (sources."@istanbuljs/load-nyc-config-1.0.0" // { + dependencies = [ + sources."find-up-4.1.0" + sources."locate-path-5.0.0" + sources."p-locate-4.1.0" + sources."path-exists-4.0.0" + ]; + }) sources."@istanbuljs/schema-0.1.2" (sources."@jest/transform-25.1.0" // { dependencies = [ @@ -66649,33 +67426,33 @@ in sources."@types/babel__template-7.0.2" sources."@types/babel__traverse-7.0.9" sources."@types/color-name-1.1.1" - sources."@types/estree-0.0.42" + sources."@types/estree-0.0.44" sources."@types/istanbul-lib-coverage-2.0.1" sources."@types/istanbul-lib-report-3.0.0" sources."@types/istanbul-reports-1.1.1" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."@types/normalize-package-data-2.4.0" sources."@types/resolve-0.0.8" sources."@types/yargs-15.0.4" sources."@types/yargs-parser-15.0.0" - sources."@webassemblyjs/ast-1.8.5" - sources."@webassemblyjs/floating-point-hex-parser-1.8.5" - sources."@webassemblyjs/helper-api-error-1.8.5" - sources."@webassemblyjs/helper-buffer-1.8.5" - sources."@webassemblyjs/helper-code-frame-1.8.5" - sources."@webassemblyjs/helper-fsm-1.8.5" - sources."@webassemblyjs/helper-module-context-1.8.5" - sources."@webassemblyjs/helper-wasm-bytecode-1.8.5" - sources."@webassemblyjs/helper-wasm-section-1.8.5" - sources."@webassemblyjs/ieee754-1.8.5" - sources."@webassemblyjs/leb128-1.8.5" - sources."@webassemblyjs/utf8-1.8.5" - sources."@webassemblyjs/wasm-edit-1.8.5" - sources."@webassemblyjs/wasm-gen-1.8.5" - sources."@webassemblyjs/wasm-opt-1.8.5" - sources."@webassemblyjs/wasm-parser-1.8.5" - sources."@webassemblyjs/wast-parser-1.8.5" - sources."@webassemblyjs/wast-printer-1.8.5" + sources."@webassemblyjs/ast-1.9.0" + sources."@webassemblyjs/floating-point-hex-parser-1.9.0" + sources."@webassemblyjs/helper-api-error-1.9.0" + sources."@webassemblyjs/helper-buffer-1.9.0" + sources."@webassemblyjs/helper-code-frame-1.9.0" + sources."@webassemblyjs/helper-fsm-1.9.0" + sources."@webassemblyjs/helper-module-context-1.9.0" + sources."@webassemblyjs/helper-wasm-bytecode-1.9.0" + sources."@webassemblyjs/helper-wasm-section-1.9.0" + sources."@webassemblyjs/ieee754-1.9.0" + sources."@webassemblyjs/leb128-1.9.0" + sources."@webassemblyjs/utf8-1.9.0" + sources."@webassemblyjs/wasm-edit-1.9.0" + sources."@webassemblyjs/wasm-gen-1.9.0" + sources."@webassemblyjs/wasm-opt-1.9.0" + sources."@webassemblyjs/wasm-parser-1.9.0" + sources."@webassemblyjs/wast-parser-1.9.0" + sources."@webassemblyjs/wast-printer-1.9.0" sources."@xtuc/ieee754-1.2.0" sources."@xtuc/long-4.2.2" sources."JSONStream-1.3.5" @@ -66723,10 +67500,9 @@ in sources."babel-helper-remove-or-void-0.4.3" sources."babel-helper-to-multiple-sequence-expressions-0.5.0" sources."babel-jest-25.1.0" - (sources."babel-loader-8.0.6" // { + (sources."babel-loader-8.1.0" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."babel-plugin-dynamic-import-node-2.3.0" @@ -66774,7 +67550,7 @@ in sources."big.js-5.2.2" sources."binary-extensions-1.13.1" sources."bindings-1.5.0" - (sources."bl-4.0.1" // { + (sources."bl-4.0.2" // { dependencies = [ sources."readable-stream-3.6.0" ]; @@ -66796,8 +67572,8 @@ in }) (sources."browserify-16.5.0" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" + sources."punycode-1.4.1" ]; }) sources."browserify-aes-1.2.0" @@ -66806,23 +67582,22 @@ in sources."browserify-rsa-4.0.1" sources."browserify-sign-4.0.4" sources."browserify-zlib-0.2.0" - sources."browserslist-4.9.1" + sources."browserslist-4.11.0" sources."bser-2.1.1" sources."buffer-5.5.0" sources."buffer-from-1.1.1" sources."buffer-xor-1.0.3" sources."builtin-modules-3.1.0" sources."builtin-status-codes-3.0.0" - (sources."cacache-12.0.3" // { + (sources."cacache-12.0.4" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."cache-base-1.0.1" sources."cached-path-relative-1.0.2" sources."camelcase-5.3.1" - sources."caniuse-lite-1.0.30001035" + sources."caniuse-lite-1.0.30001036" sources."capture-exit-2.0.0" sources."caseless-0.12.0" (sources."chalk-3.0.0" // { @@ -66841,7 +67616,7 @@ in sources."normalize-path-2.1.1" ]; }) - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."micromatch-3.1.10" ]; }) @@ -66891,8 +67666,7 @@ in sources."convert-source-map-1.7.0" (sources."copy-concurrently-1.0.5" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."copy-descriptor-0.1.1" @@ -66937,7 +67711,7 @@ in sources."duplexer2-0.1.4" sources."duplexify-3.7.1" sources."ecc-jsbn-0.1.2" - sources."electron-to-chromium-1.3.376" + sources."electron-to-chromium-1.3.383" sources."elliptic-6.5.2" sources."emoji-regex-7.0.3" sources."emojis-list-3.0.0" @@ -66949,7 +67723,7 @@ in }) sources."errno-0.1.7" sources."error-ex-1.3.2" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."escape-string-regexp-1.0.5" sources."eslint-scope-4.0.3" @@ -67001,7 +67775,7 @@ in sources."fast-json-stable-stringify-2.1.0" sources."fast-safe-stringify-2.0.7" sources."fb-watchman-2.0.1" - sources."figgy-pudding-3.5.1" + sources."figgy-pudding-3.5.2" sources."file-uri-to-path-1.0.0" (sources."fill-range-4.0.0" // { dependencies = [ @@ -67009,7 +67783,7 @@ in ]; }) sources."find-cache-dir-2.1.0" - sources."find-up-4.1.0" + sources."find-up-3.0.0" (sources."findup-sync-3.0.0" // { dependencies = [ sources."micromatch-3.1.10" @@ -67134,8 +67908,7 @@ in sources."jest-serializer-25.1.0" (sources."jest-util-25.1.0" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) (sources."jest-worker-25.1.0" // { @@ -67153,7 +67926,7 @@ in sources."json-schema-traverse-0.4.1" sources."json-stable-stringify-0.0.1" sources."json-stringify-safe-5.0.1" - sources."json5-2.1.1" + sources."json5-2.1.2" sources."jsonify-0.0.0" sources."jsonparse-1.3.1" sources."jsprim-1.4.1" @@ -67170,7 +67943,7 @@ in sources."json5-1.0.1" ]; }) - sources."locate-path-5.0.0" + sources."locate-path-3.0.0" sources."lodash-4.17.15" sources."lodash.memoize-3.0.4" sources."loose-envify-1.4.0" @@ -67178,7 +67951,6 @@ in sources."magic-string-0.25.7" sources."make-dir-2.1.0" sources."makeerror-1.0.11" - sources."mamacro-0.0.3" sources."map-age-cleaner-0.1.3" sources."map-cache-0.2.2" sources."map-visit-1.0.0" @@ -67212,8 +67984,7 @@ in sources."module-deps-6.2.2" (sources."move-concurrently-1.0.1" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."ms-2.1.2" @@ -67229,6 +68000,7 @@ in sources."buffer-4.9.2" sources."events-3.1.0" sources."inherits-2.0.3" + sources."punycode-1.4.1" sources."stream-http-2.8.3" sources."timers-browserify-2.0.11" sources."tty-browserify-0.0.0" @@ -67272,7 +68044,7 @@ in sources."p-finally-1.0.0" sources."p-is-promise-2.1.0" sources."p-limit-2.2.2" - sources."p-locate-4.1.0" + sources."p-locate-3.0.0" sources."p-try-2.2.0" sources."pako-1.0.11" sources."parallel-transform-1.2.0" @@ -67284,7 +68056,7 @@ in sources."pascalcase-0.1.1" sources."path-browserify-0.0.1" sources."path-dirname-1.0.2" - sources."path-exists-4.0.0" + sources."path-exists-3.0.0" sources."path-is-absolute-1.0.1" sources."path-key-2.0.1" sources."path-parse-1.0.6" @@ -67292,19 +68064,13 @@ in sources."pbkdf2-3.0.17" sources."peek-stream-1.1.3" sources."performance-now-2.1.0" - sources."picomatch-2.2.1" + sources."picomatch-2.2.2" sources."pify-4.0.1" sources."pinkie-1.0.0" sources."pinkie-promise-1.0.0" sources."pirates-4.0.1" - (sources."pkg-dir-3.0.0" // { - dependencies = [ - sources."find-up-3.0.0" - sources."locate-path-3.0.0" - sources."p-locate-3.0.0" - sources."path-exists-3.0.0" - ]; - }) + sources."pkg-dir-3.0.0" + sources."pkg-up-3.1.0" sources."posix-character-classes-0.1.1" sources."posix-getopt-git://github.com/anmonteiro/node-getopt#master" sources."prettier-1.19.1" @@ -67322,7 +68088,7 @@ in sources."pump-2.0.1" ]; }) - sources."punycode-1.4.1" + sources."punycode-2.1.1" sources."qs-6.5.2" sources."querystring-0.2.0" sources."querystring-es3-0.2.1" @@ -67344,7 +68110,7 @@ in sources."regenerate-1.4.0" sources."regenerate-unicode-properties-8.2.0" sources."regenerator-runtime-0.13.5" - sources."regenerator-transform-0.14.3" + sources."regenerator-transform-0.14.4" sources."regex-not-1.0.2" sources."regexpu-core-4.7.0" sources."regjsgen-0.5.1" @@ -67396,7 +68162,7 @@ in sources."normalize-path-2.1.1" ]; }) - sources."schema-utils-1.0.0" + sources."schema-utils-2.6.5" sources."semver-5.7.1" sources."serialize-javascript-2.1.2" sources."set-blocking-2.0.0" @@ -67509,13 +68275,14 @@ in sources."readable-stream-3.6.0" ]; }) - (sources."terser-4.6.6" // { + (sources."terser-4.6.7" // { dependencies = [ sources."source-map-0.6.1" ]; }) (sources."terser-webpack-plugin-1.4.3" // { dependencies = [ + sources."schema-utils-1.0.0" sources."source-map-0.6.1" ]; }) @@ -67533,11 +68300,7 @@ in }) sources."to-regex-3.0.2" sources."to-regex-range-2.1.1" - (sources."tough-cookie-2.5.0" // { - dependencies = [ - sources."punycode-2.1.1" - ]; - }) + sources."tough-cookie-2.5.0" sources."tslib-1.11.1" sources."tty-browserify-0.0.1" sources."tunnel-agent-0.6.0" @@ -67565,11 +68328,7 @@ in ]; }) sources."upath-1.2.0" - (sources."uri-js-4.2.2" // { - dependencies = [ - sources."punycode-2.1.1" - ]; - }) + sources."uri-js-4.2.2" sources."urix-0.1.0" (sources."url-0.11.0" // { dependencies = [ @@ -67592,12 +68351,12 @@ in sources."vm-browserify-1.1.2" sources."walker-1.0.7" sources."watchpack-1.6.0" - (sources."webpack-4.42.0" // { + (sources."webpack-4.42.1" // { dependencies = [ sources."acorn-6.4.1" sources."micromatch-3.1.10" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" + sources."schema-utils-1.0.0" ]; }) (sources."webpack-cli-3.3.11" // { @@ -67639,14 +68398,7 @@ in sources."xtend-4.0.2" sources."y18n-4.0.0" sources."yallist-3.1.1" - (sources."yargs-13.2.4" // { - dependencies = [ - sources."find-up-3.0.0" - sources."locate-path-3.0.0" - sources."p-locate-3.0.0" - sources."path-exists-3.0.0" - ]; - }) + sources."yargs-13.2.4" sources."yargs-parser-13.1.2" ]; buildInputs = globalBuildInputs; @@ -68296,11 +69048,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."multipipe-0.1.2" sources."nanomatch-1.2.13" @@ -68560,10 +69308,10 @@ in mocha = nodeEnv.buildNodePackage { name = "mocha"; packageName = "mocha"; - version = "7.1.0"; + version = "7.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/mocha/-/mocha-7.1.0.tgz"; - sha512 = "MymHK8UkU0K15Q/zX7uflZgVoRWiTjy0fXE/QjKts6mowUvGxOdPhZ2qj3b0iZdUrNZlW9LAIMFHB4IW+2b3EQ=="; + url = "https://registry.npmjs.org/mocha/-/mocha-7.1.1.tgz"; + sha512 = "3qQsu3ijNS3GkWcccT5Zw0hf/rWvu1fTN9sPvEd81hlwsr30GX2GcDSSoBxo24IR8FelmrAydGC6/1J5QQP4WA=="; }; dependencies = [ sources."ansi-colors-3.2.3" @@ -68598,7 +69346,7 @@ in sources."define-properties-1.1.3" sources."diff-3.5.0" sources."emoji-regex-7.0.3" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."escape-string-regexp-1.0.5" sources."esprima-4.0.1" @@ -68610,7 +69358,7 @@ in sources."function-bind-1.1.1" sources."get-caller-file-2.0.5" sources."glob-7.1.3" - sources."glob-parent-5.1.0" + sources."glob-parent-5.1.1" sources."growl-1.10.5" sources."has-1.0.3" sources."has-flag-3.0.0" @@ -68634,8 +69382,8 @@ in sources."lodash-4.17.15" sources."log-symbols-3.0.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.3" sources."ms-2.1.1" sources."node-environment-flags-1.0.6" sources."normalize-path-3.0.0" @@ -68649,7 +69397,7 @@ in sources."p-try-2.2.0" sources."path-exists-3.0.0" sources."path-is-absolute-1.0.1" - sources."picomatch-2.2.1" + sources."picomatch-2.2.2" sources."readdirp-3.2.0" sources."require-directory-2.1.1" sources."require-main-filename-2.0.0" @@ -68675,14 +69423,14 @@ in }) sources."wrappy-1.0.2" sources."y18n-4.0.0" - (sources."yargs-13.3.0" // { + (sources."yargs-13.3.2" // { dependencies = [ sources."ansi-regex-4.1.0" sources."string-width-3.1.0" sources."strip-ansi-5.2.0" ]; }) - sources."yargs-parser-13.1.1" + sources."yargs-parser-13.1.2" sources."yargs-unparser-1.6.0" ]; buildInputs = globalBuildInputs; @@ -68736,7 +69484,7 @@ in sources."path-loader-1.0.10" sources."process-nextick-args-2.0.1" sources."punycode-2.1.1" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."readable-stream-2.3.7" sources."safe-buffer-5.1.2" sources."slash-3.0.0" @@ -68906,10 +69654,10 @@ in sources."mime-db-1.43.0" sources."mime-types-2.1.26" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-2.9.0" sources."minizlib-1.3.3" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."nopt-4.0.3" sources."npmlog-4.1.2" sources."number-is-nan-1.0.1" @@ -69119,11 +69867,7 @@ in sources."mime-types-2.1.26" sources."minimatch-3.0.4" sources."minimist-1.2.5" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."nan-2.14.0" sources."negotiator-0.6.2" @@ -69314,10 +70058,10 @@ in sources."is-fullwidth-code-point-1.0.0" sources."isarray-1.0.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-2.9.0" sources."minizlib-1.3.3" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."needle-2.4.0" sources."nopt-4.0.3" @@ -69333,11 +70077,7 @@ in sources."osenv-0.1.5" sources."path-is-absolute-1.0.1" sources."process-nextick-args-2.0.1" - (sources."rc-1.2.8" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."rc-1.2.8" sources."readable-stream-2.3.7" sources."rimraf-2.7.1" sources."safe-buffer-5.1.2" @@ -69375,7 +70115,7 @@ in sha512 = "7cRGr69ibl7vnEMWEO2qHhO4L6ft2XsySoE+mMSW7h2tODNTNECelCQtTA+kYfX1wlFS3axu52Y2Br0AV5D+ww=="; }; dependencies = [ - sources."@babel/runtime-7.8.7" + sources."@babel/runtime-7.9.2" sources."@node-red/editor-api-1.0.4" sources."@node-red/editor-client-1.0.4" (sources."@node-red/nodes-1.0.4" // { @@ -69660,11 +70400,7 @@ in ]; }) sources."minizlib-1.3.3" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."moment-2.24.0" sources."moment-timezone-0.5.28" (sources."mqtt-2.18.8" // { @@ -69941,10 +70677,10 @@ in sources."mime-db-1.43.0" sources."mime-types-2.1.26" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-3.1.1" sources."minizlib-2.1.0" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ncp-0.4.2" sources."nijs-0.0.25" sources."nopt-3.0.6" @@ -70088,7 +70824,7 @@ in sources."fill-range-7.0.1" sources."fsevents-2.1.2" sources."get-stream-3.0.0" - sources."glob-parent-5.1.0" + sources."glob-parent-5.1.1" sources."global-dirs-0.1.1" sources."got-6.7.1" sources."graceful-fs-4.2.3" @@ -70125,7 +70861,7 @@ in sources."package-json-4.0.1" sources."path-is-inside-1.0.2" sources."path-key-2.0.1" - sources."picomatch-2.2.1" + sources."picomatch-2.2.2" sources."pify-3.0.0" sources."prepend-http-1.0.4" sources."pseudomap-1.0.2" @@ -70178,10 +70914,10 @@ in npm = nodeEnv.buildNodePackage { name = "npm"; packageName = "npm"; - version = "6.14.2"; + version = "6.14.3"; src = fetchurl { - url = "https://registry.npmjs.org/npm/-/npm-6.14.2.tgz"; - sha512 = "eBVjzvGJ9v2/jRJZFtIkvUVKmJ0sCJNNwc9Z1gI6llwaT7EBYWJe5o61Ipc1QR0FaDCKM3l1GizI09Ro3STJEw=="; + url = "https://registry.npmjs.org/npm/-/npm-6.14.3.tgz"; + sha512 = "3tQYVEEdSGQGYoXhZvNqW8faqCidfMMaL387RdDo4Uu5kQy4IgvJ13NIsWVMQ6e3QWlbicNMSpFiyzYfMUuPDw=="; }; buildInputs = globalBuildInputs; meta = { @@ -70196,10 +70932,10 @@ in npm-check-updates = nodeEnv.buildNodePackage { name = "npm-check-updates"; packageName = "npm-check-updates"; - version = "4.0.4"; + version = "4.0.5"; src = fetchurl { - url = "https://registry.npmjs.org/npm-check-updates/-/npm-check-updates-4.0.4.tgz"; - sha512 = "o1Db+kfi8Vvs345Xxpn+3WSUJtLa85F2hMF6v6kYfQuvTulsphvDzgbiVkp4vEKC8+BQETCag730XPJudYf4mA=="; + url = "https://registry.npmjs.org/npm-check-updates/-/npm-check-updates-4.0.5.tgz"; + sha512 = "dO2jeEmD1DAa/8NKxQAuhVsICDYWKTL1kZkvUFyRNphK4NiLk1HA7Jk5mCfl2L2xU5FxiGhNq5vgYlivu+2kjw=="; }; dependencies = [ sources."@npmcli/ci-detect-1.2.0" @@ -70248,12 +70984,12 @@ in sources."color-convert-2.0.1" sources."color-name-1.1.4" sources."colors-1.0.3" - sources."commander-4.1.1" + sources."commander-5.0.0" sources."concat-map-0.0.1" sources."configstore-5.0.1" (sources."copy-concurrently-1.0.5" // { dependencies = [ - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."core-util-is-1.0.2" @@ -70275,7 +71011,7 @@ in sources."escape-string-regexp-1.0.5" sources."esprima-4.0.1" sources."fast-diff-1.2.0" - sources."figgy-pudding-3.5.1" + sources."figgy-pudding-3.5.2" sources."find-up-4.1.0" sources."fs-minipass-2.1.0" sources."fs-write-stream-atomic-1.0.10" @@ -70321,11 +71057,7 @@ in sources."json-buffer-3.0.0" sources."json-parse-even-better-errors-2.2.0" sources."json-parse-helpfulerror-1.0.3" - (sources."json5-2.1.1" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."json5-2.1.2" sources."jsonparse-1.3.1" sources."keyv-3.1.0" sources."kleur-3.0.3" @@ -70350,7 +71082,7 @@ in sources."make-fetch-happen-8.0.4" sources."mimic-response-1.0.1" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" (sources."minipass-3.1.1" // { dependencies = [ sources."yallist-4.0.0" @@ -70370,7 +71102,7 @@ in sources."mkdirp-1.0.3" (sources."move-concurrently-1.0.1" // { dependencies = [ - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."ms-2.1.2" @@ -70410,14 +71142,10 @@ in sources."progress-2.0.3" sources."promise-inflight-1.0.1" sources."promise-retry-1.1.1" - sources."prompts-2.3.1" + sources."prompts-2.3.2" sources."pump-3.0.0" sources."pupa-2.0.1" - (sources."rc-1.2.8" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."rc-1.2.8" sources."rc-config-loader-3.0.0" sources."read-package-json-fast-1.1.3" sources."readable-stream-2.3.7" @@ -70441,7 +71169,7 @@ in }) sources."semver-utils-1.1.4" sources."signal-exit-3.0.2" - sources."sisteransi-1.0.4" + sources."sisteransi-1.0.5" sources."smart-buffer-4.1.0" sources."socks-2.3.3" sources."socks-proxy-agent-5.0.0" @@ -70554,7 +71282,7 @@ in (sources."fstream-0.1.31" // { dependencies = [ sources."graceful-fs-3.0.12" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."gauge-2.7.4" @@ -70581,7 +71309,7 @@ in sources."mime-db-1.43.0" sources."mime-types-2.1.26" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."mkdirp-0.3.5" sources."natives-1.1.6" sources."ncp-0.4.2" @@ -70718,22 +71446,22 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/compat-data-7.8.6" - (sources."@babel/core-7.8.7" // { + sources."@babel/compat-data-7.9.0" + (sources."@babel/core-7.9.0" // { dependencies = [ - sources."json5-2.1.1" + sources."json5-2.1.2" sources."source-map-0.5.7" ]; }) - (sources."@babel/generator-7.8.8" // { + (sources."@babel/generator-7.9.4" // { dependencies = [ sources."source-map-0.5.7" ]; }) sources."@babel/helper-annotate-as-pure-7.8.3" sources."@babel/helper-builder-binary-assignment-operator-visitor-7.8.3" - sources."@babel/helper-builder-react-jsx-7.8.3" - sources."@babel/helper-call-delegate-7.8.7" + sources."@babel/helper-builder-react-jsx-7.9.0" + sources."@babel/helper-builder-react-jsx-experimental-7.9.0" sources."@babel/helper-compilation-targets-7.8.7" sources."@babel/helper-create-regexp-features-plugin-7.8.8" sources."@babel/helper-define-map-7.8.3" @@ -70743,7 +71471,7 @@ in sources."@babel/helper-hoist-variables-7.8.3" sources."@babel/helper-member-expression-to-functions-7.8.3" sources."@babel/helper-module-imports-7.8.3" - sources."@babel/helper-module-transforms-7.8.6" + sources."@babel/helper-module-transforms-7.9.0" sources."@babel/helper-optimise-call-expression-7.8.3" sources."@babel/helper-plugin-utils-7.8.3" sources."@babel/helper-regex-7.8.3" @@ -70751,17 +71479,19 @@ in sources."@babel/helper-replace-supers-7.8.6" sources."@babel/helper-simple-access-7.8.3" sources."@babel/helper-split-export-declaration-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" sources."@babel/helper-wrap-function-7.8.3" - sources."@babel/helpers-7.8.4" - sources."@babel/highlight-7.8.3" - sources."@babel/parser-7.8.8" + sources."@babel/helpers-7.9.2" + sources."@babel/highlight-7.9.0" + sources."@babel/parser-7.9.4" sources."@babel/plugin-proposal-async-generator-functions-7.8.3" sources."@babel/plugin-proposal-dynamic-import-7.8.3" sources."@babel/plugin-proposal-json-strings-7.8.3" sources."@babel/plugin-proposal-nullish-coalescing-operator-7.8.3" - sources."@babel/plugin-proposal-object-rest-spread-7.8.3" + sources."@babel/plugin-proposal-numeric-separator-7.8.3" + sources."@babel/plugin-proposal-object-rest-spread-7.9.0" sources."@babel/plugin-proposal-optional-catch-binding-7.8.3" - sources."@babel/plugin-proposal-optional-chaining-7.8.3" + sources."@babel/plugin-proposal-optional-chaining-7.9.0" sources."@babel/plugin-proposal-unicode-property-regex-7.8.8" sources."@babel/plugin-syntax-async-generators-7.8.4" sources."@babel/plugin-syntax-dynamic-import-7.8.3" @@ -70769,6 +71499,7 @@ in sources."@babel/plugin-syntax-json-strings-7.8.3" sources."@babel/plugin-syntax-jsx-7.8.3" sources."@babel/plugin-syntax-nullish-coalescing-operator-7.8.3" + sources."@babel/plugin-syntax-numeric-separator-7.8.3" sources."@babel/plugin-syntax-object-rest-spread-7.8.3" sources."@babel/plugin-syntax-optional-catch-binding-7.8.3" sources."@babel/plugin-syntax-optional-chaining-7.8.3" @@ -70777,27 +71508,27 @@ in sources."@babel/plugin-transform-async-to-generator-7.8.3" sources."@babel/plugin-transform-block-scoped-functions-7.8.3" sources."@babel/plugin-transform-block-scoping-7.8.3" - sources."@babel/plugin-transform-classes-7.8.6" + sources."@babel/plugin-transform-classes-7.9.2" sources."@babel/plugin-transform-computed-properties-7.8.3" sources."@babel/plugin-transform-destructuring-7.8.8" sources."@babel/plugin-transform-dotall-regex-7.8.3" sources."@babel/plugin-transform-duplicate-keys-7.8.3" sources."@babel/plugin-transform-exponentiation-operator-7.8.3" - sources."@babel/plugin-transform-flow-strip-types-7.8.3" - sources."@babel/plugin-transform-for-of-7.8.6" + sources."@babel/plugin-transform-flow-strip-types-7.9.0" + sources."@babel/plugin-transform-for-of-7.9.0" sources."@babel/plugin-transform-function-name-7.8.3" sources."@babel/plugin-transform-literals-7.8.3" sources."@babel/plugin-transform-member-expression-literals-7.8.3" - sources."@babel/plugin-transform-modules-amd-7.8.3" - sources."@babel/plugin-transform-modules-commonjs-7.8.3" - sources."@babel/plugin-transform-modules-systemjs-7.8.3" - sources."@babel/plugin-transform-modules-umd-7.8.3" + sources."@babel/plugin-transform-modules-amd-7.9.0" + sources."@babel/plugin-transform-modules-commonjs-7.9.0" + sources."@babel/plugin-transform-modules-systemjs-7.9.0" + sources."@babel/plugin-transform-modules-umd-7.9.0" sources."@babel/plugin-transform-named-capturing-groups-regex-7.8.3" sources."@babel/plugin-transform-new-target-7.8.3" sources."@babel/plugin-transform-object-super-7.8.3" - sources."@babel/plugin-transform-parameters-7.8.8" + sources."@babel/plugin-transform-parameters-7.9.3" sources."@babel/plugin-transform-property-literals-7.8.3" - sources."@babel/plugin-transform-react-jsx-7.8.3" + sources."@babel/plugin-transform-react-jsx-7.9.4" sources."@babel/plugin-transform-regenerator-7.8.7" sources."@babel/plugin-transform-reserved-words-7.8.3" sources."@babel/plugin-transform-shorthand-properties-7.8.3" @@ -70806,11 +71537,12 @@ in sources."@babel/plugin-transform-template-literals-7.8.3" sources."@babel/plugin-transform-typeof-symbol-7.8.4" sources."@babel/plugin-transform-unicode-regex-7.8.3" - sources."@babel/preset-env-7.8.7" - sources."@babel/runtime-7.8.7" + sources."@babel/preset-env-7.9.0" + sources."@babel/preset-modules-0.1.3" + sources."@babel/runtime-7.9.2" sources."@babel/template-7.8.6" - sources."@babel/traverse-7.8.6" - sources."@babel/types-7.8.7" + sources."@babel/traverse-7.9.0" + sources."@babel/types-7.9.0" sources."@iarna/toml-2.2.3" sources."@mrmlnc/readdir-enhanced-2.2.1" sources."@nodelib/fs.stat-1.1.3" @@ -70899,7 +71631,7 @@ in sources."pako-1.0.11" ]; }) - sources."browserslist-4.9.1" + sources."browserslist-4.11.0" (sources."buffer-4.9.2" // { dependencies = [ sources."isarray-1.0.0" @@ -70916,7 +71648,7 @@ in sources."callsites-2.0.0" sources."camelcase-5.3.1" sources."caniuse-api-3.0.0" - sources."caniuse-lite-1.0.30001035" + sources."caniuse-lite-1.0.30001036" sources."caseless-0.12.0" sources."chalk-2.4.2" sources."chokidar-2.1.8" @@ -70990,7 +71722,12 @@ in sources."cssnano-util-get-match-4.0.0" sources."cssnano-util-raw-cache-4.0.1" sources."cssnano-util-same-parent-4.0.1" - sources."csso-4.0.2" + (sources."csso-4.0.3" // { + dependencies = [ + sources."css-tree-1.0.0-alpha.39" + sources."mdn-data-2.0.6" + ]; + }) sources."cssom-0.3.8" sources."cssstyle-1.4.0" sources."dashdash-1.14.1" @@ -71044,14 +71781,14 @@ in sources."duplexer2-0.1.4" sources."ecc-jsbn-0.1.2" sources."ee-first-1.1.1" - sources."electron-to-chromium-1.3.376" + sources."electron-to-chromium-1.3.383" sources."elliptic-6.5.2" sources."emoji-regex-7.0.3" sources."encodeurl-1.0.2" sources."entities-1.1.2" sources."envinfo-7.5.0" sources."error-ex-1.3.2" - (sources."es-abstract-1.17.4" // { + (sources."es-abstract-1.17.5" // { dependencies = [ sources."object-inspect-1.7.0" ]; @@ -71097,7 +71834,7 @@ in sources."fragment-cache-0.2.1" sources."fresh-0.5.2" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."function-bind-1.1.1" sources."gensync-1.0.0-beta.1" sources."get-caller-file-2.0.5" @@ -71142,7 +71879,7 @@ in (sources."htmlnano-0.2.5" // { dependencies = [ sources."posthtml-0.12.0" - sources."terser-4.6.6" + sources."terser-4.6.7" ]; }) (sources."htmlparser2-3.10.1" // { @@ -71271,11 +72008,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."nan-2.14.0" (sources."nanomatch-1.2.13" // { @@ -71339,6 +72072,7 @@ in sources."pbkdf2-3.0.17" sources."performance-now-2.1.0" sources."physical-cpu-count-2.0.0" + sources."pkg-up-3.1.0" sources."pn-1.1.0" sources."posix-character-classes-0.1.1" (sources."postcss-7.0.27" // { @@ -71435,7 +72169,7 @@ in sources."regenerate-1.4.0" sources."regenerate-unicode-properties-8.2.0" sources."regenerator-runtime-0.13.5" - sources."regenerator-transform-0.14.3" + sources."regenerator-transform-0.14.4" (sources."regex-not-1.0.2" // { dependencies = [ sources."extend-shallow-3.0.2" @@ -71815,9 +72549,9 @@ in sources."mime-types-2.1.26" sources."minimatch-3.0.4" sources."minimist-0.0.10" - (sources."mkdirp-0.5.1" // { + (sources."mkdirp-0.5.4" // { dependencies = [ - sources."minimist-0.0.8" + sources."minimist-1.2.5" ]; }) sources."moment-2.24.0" @@ -71910,7 +72644,7 @@ in sources."tweetnacl-0.14.5" sources."type-is-1.6.18" sources."uglify-js-3.8.0" - sources."unix-dgram-2.0.3" + sources."unix-dgram-2.0.4" sources."unpipe-1.0.0" sources."uri-js-4.2.2" sources."util-deprecate-1.0.2" @@ -72026,7 +72760,7 @@ in sources."dns-txt-2.0.2" sources."end-of-stream-1.4.4" sources."error-ex-1.3.2" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."escape-string-regexp-1.0.5" sources."external-editor-2.2.0" @@ -72036,8 +72770,7 @@ in sources."flatten-0.0.1" (sources."fs-chunk-store-1.7.0" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."fs.realpath-1.0.0" @@ -72163,8 +72896,7 @@ in sources."pump-2.0.1" (sources."random-access-file-2.1.3" // { dependencies = [ - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" ]; }) sources."random-access-storage-1.4.1" @@ -72307,12 +73039,11 @@ in (sources."bittorrent-tracker-7.7.0" // { dependencies = [ sources."bencode-0.8.0" - sources."minimist-1.2.5" sources."ultron-1.0.2" sources."ws-1.1.5" ]; }) - sources."bl-4.0.1" + sources."bl-4.0.2" sources."blob-0.0.5" sources."bn.js-4.11.8" sources."bncode-0.5.3" @@ -72469,9 +73200,13 @@ in sources."mime-types-2.1.26" sources."mimic-response-1.0.1" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" - sources."morgan-1.9.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" + (sources."morgan-1.10.0" // { + dependencies = [ + sources."depd-2.0.0" + ]; + }) sources."ms-2.0.0" sources."multiparty-4.2.1" sources."negotiator-0.6.2" @@ -72635,10 +73370,10 @@ in pnpm = nodeEnv.buildNodePackage { name = "pnpm"; packageName = "pnpm"; - version = "4.11.6"; + version = "4.12.0"; src = fetchurl { - url = "https://registry.npmjs.org/pnpm/-/pnpm-4.11.6.tgz"; - sha512 = "SJkYVP7BRVR/Q+J13RmB1/WC9dQDOTU6H9uTOmIFE5hbkdh83HqqvOBs7SjT+bUObqMicZ9csfreKxuZhGVvKw=="; + url = "https://registry.npmjs.org/pnpm/-/pnpm-4.12.0.tgz"; + sha512 = "Sjvf66dfk2d7kRssvHX6x+d0j9NgIE9262vCKviJC+kTPcpZEwxsF/RjQ/CX07UmlDYAX2TWqNZz7jeiksMKSA=="; }; buildInputs = globalBuildInputs; meta = { @@ -72653,10 +73388,10 @@ in prettier = nodeEnv.buildNodePackage { name = "prettier"; packageName = "prettier"; - version = "1.19.1"; + version = "2.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/prettier/-/prettier-1.19.1.tgz"; - sha512 = "s7PoyDv/II1ObgQunCbB9PdLmUcBZcnWOcxDh7O0N/UwDEsHyqkW+Qh28jW+mVuCdx7gLB0BotYI1Y6uI9iyew=="; + url = "https://registry.npmjs.org/prettier/-/prettier-2.0.2.tgz"; + sha512 = "5xJQIPT8BraI7ZnaDwSbu5zLrB6vvi8hVV58yHQ+QK64qrY40dULy0HSRlQ2/2IdzeBpjhDkqdcFBnFeDEMVdg=="; }; buildInputs = globalBuildInputs; meta = { @@ -72708,16 +73443,21 @@ in pulp = nodeEnv.buildNodePackage { name = "pulp"; packageName = "pulp"; - version = "13.0.0"; + version = "14.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/pulp/-/pulp-13.0.0.tgz"; - sha512 = "wjjAVuN1Shx6783NvTd8aPwWZ1pE94+isiWtdAJhedvbLqJuwe8p5CSNul9FS0WvBz7ejdrW0vc6wLDLsKX7Yw=="; + url = "https://registry.npmjs.org/pulp/-/pulp-14.0.0.tgz"; + sha512 = "K/s/BRG+AJpp+D3VES/c08kdxzMRKJYdZHIBnC27+6NS+3htwPPGRNTpPig+Sd6WKd5uZH3NASrBX+zgR5SQ6Q=="; }; dependencies = [ sources."JSONStream-1.3.5" sources."acorn-7.1.1" sources."acorn-node-1.8.2" sources."acorn-walk-7.1.1" + sources."ansi-escapes-3.2.0" + sources."ansi-regex-4.1.0" + sources."ansi-styles-3.2.1" + sources."append-type-1.0.2" + sources."arch-2.1.1" sources."asn1.js-4.10.1" (sources."assert-1.5.0" // { dependencies = [ @@ -72725,6 +73465,7 @@ in sources."util-0.10.3" ]; }) + sources."astral-regex-1.0.0" sources."async-1.5.2" sources."balanced-match-1.0.0" sources."base64-js-1.3.1" @@ -72759,11 +73500,22 @@ in sources."buffer-crc32-0.2.13" sources."buffer-from-1.1.1" sources."buffer-xor-1.0.3" + sources."build-purescript-0.4.1" sources."builtin-status-codes-3.0.0" + sources."byline-5.0.0" sources."cached-path-relative-1.0.2" + sources."cancelable-pipeline-1.0.0" + sources."cancelable-pump-0.4.0" + sources."chalk-2.4.2" + sources."chownr-1.1.4" sources."cipher-base-1.0.4" + sources."clean-stack-2.2.0" + sources."cli-cursor-2.1.0" + sources."color-convert-1.9.3" + sources."color-name-1.1.3" sources."colors-1.4.0" sources."combine-source-map-0.8.0" + sources."commander-2.20.3" sources."concat-map-0.0.1" (sources."concat-stream-2.0.0" // { dependencies = [ @@ -72777,38 +73529,52 @@ in sources."create-ecdh-4.0.3" sources."create-hash-1.2.0" sources."create-hmac-1.1.7" + sources."cross-spawn-6.0.5" sources."crypto-browserify-3.12.0" sources."dash-ast-1.0.0" sources."defined-1.0.0" sources."deps-sort-2.0.1" sources."des.js-1.0.1" - (sources."detective-5.2.0" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."detective-5.2.0" sources."diffie-hellman-5.0.3" + sources."dl-tar-0.8.1" sources."domain-browser-1.2.0" + sources."download-or-build-purescript-0.3.4" + sources."download-purescript-0.8.5" + sources."download-purescript-source-0.6.5" sources."duplexer2-0.1.4" sources."elliptic-6.5.2" + sources."emoji-regex-7.0.3" + sources."end-of-stream-1.4.4" sources."es6-promise-3.3.1" + sources."escape-string-regexp-1.0.5" sources."events-2.1.0" sources."evp_bytestokey-1.0.3" + sources."execa-1.0.0" + sources."executing-npm-path-1.0.0" sources."fast-safe-stringify-2.0.7" + sources."feint-1.0.3" + sources."file-to-npm-cache-0.1.0" + sources."filesize-4.2.1" + sources."find-pkg-dir-1.0.1" + sources."fs-minipass-1.2.7" sources."fs.realpath-1.0.0" sources."function-bind-1.1.1" sources."gaze-1.1.3" sources."get-assigned-identifiers-1.2.0" + sources."get-stream-4.1.0" sources."glob-7.1.6" sources."globule-1.3.1" sources."graceful-fs-4.2.3" sources."has-1.0.3" + sources."has-flag-3.0.0" sources."hash-base-3.0.4" sources."hash.js-1.1.7" sources."hmac-drbg-1.0.1" sources."htmlescape-1.1.1" sources."https-browserify-1.0.0" sources."ieee754-1.1.13" + sources."import-package-1.0.0" sources."inflight-1.0.6" sources."inherits-2.0.4" sources."inline-source-map-0.6.2" @@ -72817,23 +73583,37 @@ in sources."concat-stream-1.6.2" ]; }) + sources."inspect-with-kind-1.0.5" + sources."install-purescript-0.7.0" + sources."install-purescript-cli-0.4.2" sources."is-buffer-1.1.6" + sources."is-fullwidth-code-point-2.0.0" + sources."is-plain-obj-1.1.0" + sources."is-stream-1.1.0" sources."isarray-1.0.0" sources."isexe-2.0.0" sources."json-stable-stringify-0.0.1" sources."jsonify-0.0.0" sources."jsonparse-1.3.1" + sources."kind-of-6.0.3" sources."labeled-stream-splicer-2.0.2" + sources."load-from-cwd-or-npm-3.0.4" + sources."load-request-from-cwd-or-npm-3.0.0" sources."lodash-4.17.15" sources."lodash.memoize-3.0.4" + sources."log-symbols-3.0.0" + sources."log-update-3.4.0" sources."md5.js-1.3.5" sources."miller-rabin-4.0.1" sources."mime-1.6.0" + sources."mimic-fn-1.2.0" sources."minimalistic-assert-1.0.1" sources."minimalistic-crypto-utils-1.0.1" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."minipass-2.9.0" + sources."minizlib-1.3.3" + sources."mkdirp-0.5.4" (sources."module-deps-6.2.2" // { dependencies = [ sources."concat-stream-1.6.2" @@ -72844,32 +73624,54 @@ in sources."through-2.2.7" ]; }) + sources."ms-2.1.2" sources."mute-stream-0.0.8" + sources."neat-stack-1.0.1" + sources."nice-try-1.0.5" sources."node-static-0.7.11" + sources."npcache-1.0.2" + sources."npm-cache-env-2.0.0" + sources."npm-cache-path-2.0.0" + sources."npm-cli-dir-3.0.1" + sources."npm-cli-path-3.1.2" + sources."npm-cli-version-1.0.0" + sources."npm-run-path-2.0.2" + sources."npm-version-compare-1.0.1" sources."object-assign-4.1.1" sources."once-1.4.0" + sources."onetime-2.0.1" (sources."optimist-0.6.1" // { dependencies = [ + sources."minimist-0.0.10" sources."wordwrap-0.0.3" ]; }) + sources."optional-0.1.4" sources."os-browserify-0.3.0" + sources."p-finally-1.0.0" sources."pako-1.0.11" sources."parents-1.0.1" sources."parse-asn1-5.1.5" sources."path-browserify-0.0.1" sources."path-is-absolute-1.0.1" + sources."path-key-2.0.1" sources."path-parse-1.0.6" sources."path-platform-0.11.15" + sources."pause-fn-1.0.0" + sources."pause-methods-1.0.0" sources."pbkdf2-3.0.17" + sources."platform-name-1.0.0" sources."process-0.11.10" sources."process-nextick-args-2.0.1" sources."public-encrypt-4.0.3" + sources."pump-3.0.0" sources."punycode-1.4.1" + sources."purescript-0.12.5" sources."querystring-0.2.0" sources."querystring-es3-0.2.1" sources."randombytes-2.1.0" sources."randomfill-1.0.4" + sources."rate-map-1.0.5" sources."read-1.0.7" sources."read-only-stream-2.0.0" (sources."readable-stream-2.3.7" // { @@ -72878,23 +73680,35 @@ in sources."string_decoder-1.1.1" ]; }) + sources."reject-unsatisfied-npm-version-1.0.0" sources."resolve-1.15.1" + sources."resolve-from-npm-3.1.0" + sources."restore-cursor-2.0.0" sources."rimraf-2.7.1" sources."ripemd160-2.0.2" + sources."run-in-dir-0.3.0" sources."safe-buffer-5.2.0" sources."sander-0.5.1" + sources."semver-5.7.1" sources."sha.js-2.4.11" sources."shasum-1.0.2" sources."shasum-object-1.0.0" + sources."shebang-command-1.2.0" + sources."shebang-regex-1.0.0" sources."shell-quote-1.7.2" + sources."signal-exit-3.0.2" sources."simple-concat-1.0.0" - (sources."sorcery-0.10.0" // { + sources."size-rate-0.3.1" + sources."slice-ansi-2.1.0" + sources."sorcery-0.10.0" + sources."source-map-0.5.7" + (sources."source-map-support-0.5.16" // { dependencies = [ - sources."minimist-1.2.5" + sources."source-map-0.6.1" ]; }) - sources."source-map-0.5.7" sources."sourcemap-codec-1.4.8" + sources."spawn-stack-0.7.0" sources."stream-browserify-2.0.2" sources."stream-combiner2-1.1.1" (sources."stream-http-3.1.0" // { @@ -72903,23 +73717,43 @@ in ]; }) sources."stream-splicer-2.0.1" + sources."string-width-3.1.0" sources."string_decoder-1.3.0" - (sources."subarg-1.0.0" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."strip-ansi-5.2.0" + sources."strip-eof-1.0.0" + sources."subarg-1.0.0" + sources."supports-color-5.5.0" sources."syntax-error-1.4.0" + sources."tar-4.4.13" (sources."temp-0.9.1" // { dependencies = [ sources."rimraf-2.6.3" ]; }) + (sources."terser-4.6.7" // { + dependencies = [ + sources."source-map-0.6.1" + ]; + }) sources."through-2.3.8" sources."through2-2.0.5" + sources."tilde-path-3.0.0" sources."timers-browserify-1.4.2" sources."tree-kill-1.2.2" sources."tty-browserify-0.0.1" + (sources."tty-truncate-1.0.5" // { + dependencies = [ + sources."emoji-regex-8.0.0" + sources."is-fullwidth-code-point-3.0.0" + sources."string-width-4.2.0" + (sources."strip-ansi-6.0.0" // { + dependencies = [ + sources."ansi-regex-5.0.0" + ]; + }) + ]; + }) + sources."tty-width-frame-1.0.3" sources."typedarray-0.0.6" sources."umd-3.0.3" sources."undeclared-identifiers-1.1.3" @@ -72934,11 +73768,16 @@ in ]; }) sources."util-deprecate-1.0.2" + sources."vertical-meter-1.0.0" sources."vm-browserify-1.1.2" sources."which-1.3.1" + sources."win-user-installed-npm-cli-path-3.0.1" sources."wordwrap-1.0.0" + sources."wrap-ansi-5.1.0" sources."wrappy-1.0.2" sources."xtend-4.0.2" + sources."yallist-3.1.1" + sources."zen-observable-0.8.15" ]; buildInputs = globalBuildInputs; meta = { @@ -72988,7 +73827,7 @@ in sources."cycle-1.0.3" sources."deep-equal-2.0.1" sources."define-properties-1.1.3" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-get-iterator-1.1.0" sources."es-to-primitive-1.2.1" sources."escape-string-regexp-1.0.5" @@ -73019,11 +73858,7 @@ in sources."isstream-0.1.2" sources."minimatch-3.0.4" sources."minimist-1.2.5" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."mute-stream-0.0.8" sources."ncp-0.4.2" sources."object-inspect-1.7.0" @@ -73098,8 +73933,8 @@ in ]; }) sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."once-1.4.0" sources."path-is-absolute-1.0.1" sources."private-0.1.8" @@ -73396,13 +74231,14 @@ in serverless = nodeEnv.buildNodePackage { name = "serverless"; packageName = "serverless"; - version = "1.66.0"; + version = "1.67.0"; src = fetchurl { - url = "https://registry.npmjs.org/serverless/-/serverless-1.66.0.tgz"; - sha512 = "Syl/WikZfx+g6mIKb7XgwJO8S00XBYQCLJnrYxfM2VYRsp4dMx202n+/1XBgKXLeViLRx0ofEe/Xjn9GxRpSUQ=="; + url = "https://registry.npmjs.org/serverless/-/serverless-1.67.0.tgz"; + sha512 = "ocnzEW2op4KrdjnZ7aeadnX1/E6PdlsW8vv67/3sGlni1ep8JiKSRU0aPQqUmood98kEIo/sKvUlHrJcAm3eXg=="; }; dependencies = [ sources."2-thenable-1.0.0" + sources."@babel/parser-7.9.4" sources."@nodelib/fs.scandir-2.1.3" sources."@nodelib/fs.stat-2.0.3" sources."@nodelib/fs.walk-1.2.4" @@ -73425,7 +74261,7 @@ in sources."semver-6.3.0" ]; }) - (sources."@serverless/enterprise-plugin-3.5.0" // { + (sources."@serverless/enterprise-plugin-3.6.0" // { dependencies = [ sources."fs-extra-8.1.0" sources."node-fetch-2.6.0" @@ -73453,7 +74289,12 @@ in sources."@types/glob-7.1.1" sources."@types/lodash-4.14.149" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" + (sources."@typescript-eslint/typescript-estree-2.25.0" // { + dependencies = [ + sources."semver-6.3.0" + ]; + }) sources."adm-zip-0.4.14" sources."agent-base-6.0.0" sources."ansi-align-3.0.0" @@ -73461,6 +74302,7 @@ in sources."ansi-regex-4.1.0" sources."ansi-styles-3.2.1" sources."anymatch-3.1.1" + sources."app-module-path-2.2.0" (sources."archive-type-4.0.0" // { dependencies = [ sources."file-type-4.4.0" @@ -73483,11 +74325,12 @@ in sources."array-uniq-1.0.3" sources."array-unique-0.3.2" sources."assign-symbols-1.0.0" + sources."ast-module-types-2.6.0" sources."async-1.5.2" sources."async-limiter-1.0.1" sources."asynckit-0.4.0" sources."atob-2.1.2" - (sources."aws-sdk-2.639.0" // { + (sources."aws-sdk-2.644.0" // { dependencies = [ sources."buffer-4.9.1" sources."uuid-3.3.2" @@ -73529,7 +74372,11 @@ in sources."camelcase-5.3.1" sources."capture-stack-trace-1.0.1" sources."caw-2.0.1" - sources."chalk-2.4.2" + (sources."chalk-2.4.2" // { + dependencies = [ + sources."supports-color-5.5.0" + ]; + }) sources."chardet-0.7.0" (sources."child-process-ext-2.1.0" // { dependencies = [ @@ -73579,7 +74426,12 @@ in }) sources."concat-map-0.0.1" sources."config-chain-1.1.12" - sources."configstore-3.1.2" + (sources."configstore-3.1.2" // { + dependencies = [ + sources."make-dir-1.3.0" + sources."pify-3.0.0" + ]; + }) sources."content-disposition-0.5.3" sources."cookie-0.3.1" sources."cookiejar-2.1.2" @@ -73595,11 +74447,21 @@ in sources."type-1.2.0" ]; }) - sources."dayjs-1.8.22" + sources."dayjs-1.8.23" sources."debug-4.1.1" sources."decamelize-1.2.0" sources."decode-uri-component-0.2.0" - sources."decompress-4.2.0" + sources."decomment-0.9.2" + (sources."decompress-4.2.0" // { + dependencies = [ + (sources."make-dir-1.3.0" // { + dependencies = [ + sources."pify-3.0.0" + ]; + }) + sources."pify-2.3.0" + ]; + }) sources."decompress-response-3.3.0" sources."decompress-tar-4.1.1" (sources."decompress-tarbz2-4.1.1" // { @@ -73612,13 +74474,25 @@ in dependencies = [ sources."file-type-3.9.0" sources."get-stream-2.3.1" + sources."pify-2.3.0" ]; }) sources."deep-extend-0.6.0" + sources."deep-is-0.1.3" sources."defer-to-connect-1.1.3" sources."deferred-0.7.11" sources."define-property-2.0.2" sources."delayed-stream-1.0.0" + sources."dependency-tree-7.2.1" + sources."detective-amd-3.0.0" + sources."detective-cjs-3.1.1" + sources."detective-es6-2.1.0" + sources."detective-less-1.0.2" + sources."detective-postcss-3.0.1" + sources."detective-sass-3.0.1" + sources."detective-scss-2.0.1" + sources."detective-stylus-1.0.0" + sources."detective-typescript-5.7.0" sources."dir-glob-3.0.1" sources."dot-prop-4.2.0" sources."dotenv-8.2.0" @@ -73632,6 +74506,7 @@ in sources."http-cache-semantics-3.8.1" sources."keyv-3.0.0" sources."lowercase-keys-1.0.0" + sources."make-dir-1.3.0" sources."normalize-url-2.0.1" sources."p-cancelable-0.4.1" sources."pify-3.0.0" @@ -73643,9 +74518,11 @@ in sources."emoji-regex-7.0.3" sources."encoding-0.1.12" sources."end-of-stream-1.4.4" + sources."enhanced-resolve-4.1.1" + sources."errno-0.1.7" sources."es5-ext-0.10.53" sources."es6-iterator-2.0.3" - sources."es6-promisify-6.0.2" + sources."es6-promisify-6.1.0" (sources."es6-set-0.1.5" // { dependencies = [ sources."es6-symbol-3.1.1" @@ -73654,9 +74531,13 @@ in sources."es6-symbol-3.1.3" sources."es6-weak-map-2.0.3" sources."escape-string-regexp-1.0.5" + sources."escodegen-1.14.1" + sources."eslint-visitor-keys-1.1.0" sources."esniff-1.1.0" sources."esprima-4.0.1" sources."essentials-1.1.1" + sources."estraverse-4.3.0" + sources."esutils-2.0.3" sources."event-emitter-0.3.5" sources."events-1.1.1" (sources."execa-0.7.0" // { @@ -73675,13 +74556,23 @@ in sources."fastq-1.6.1" sources."fd-slicer-1.1.0" sources."figures-3.2.0" + sources."file-exists-dazinatorfork-1.0.2" sources."file-type-5.2.0" sources."filename-reserved-regex-2.0.0" sources."filenamify-2.1.0" sources."filesize-3.6.1" + sources."filing-cabinet-2.5.1" sources."fill-range-7.0.1" + sources."find-0.3.0" + (sources."find-process-1.4.3" // { + dependencies = [ + sources."debug-2.6.9" + sources."ms-2.0.0" + ]; + }) sources."find-requires-1.0.0" sources."flat-5.0.0" + sources."flatten-1.0.3" (sources."follow-redirects-1.5.10" // { dependencies = [ sources."debug-3.1.0" @@ -73706,16 +74597,24 @@ in ]; }) sources."fsevents-2.1.2" + sources."get-amd-module-type-3.0.0" + sources."get-own-enumerable-property-symbols-3.0.2" sources."get-proxy-2.1.0" sources."get-stdin-5.0.1" sources."get-stream-4.1.0" sources."get-value-2.0.6" sources."glob-7.1.6" - sources."glob-parent-5.1.0" + sources."glob-parent-5.1.1" sources."global-dirs-0.1.1" (sources."globby-6.1.0" // { dependencies = [ sources."array-union-1.0.2" + sources."pify-2.3.0" + ]; + }) + (sources."gonzales-pe-4.2.4" // { + dependencies = [ + sources."minimist-1.1.3" ]; }) sources."got-9.6.0" @@ -73749,6 +74648,7 @@ in sources."immediate-3.0.6" sources."import-lazy-2.1.0" sources."imurmurhash-0.1.4" + sources."indexes-of-1.0.1" sources."inflight-1.0.6" sources."inherits-2.0.4" sources."ini-1.3.5" @@ -73787,8 +74687,11 @@ in sources."is-plain-object-2.0.4" sources."is-promise-2.1.0" sources."is-redirect-1.0.0" + sources."is-regexp-1.0.0" + sources."is-relative-path-1.0.2" sources."is-retry-allowed-1.2.0" sources."is-stream-1.1.0" + sources."is-url-1.2.4" sources."is-windows-1.0.2" sources."is-wsl-2.1.1" sources."isarray-1.0.0" @@ -73827,6 +74730,7 @@ in ]; }) sources."lazystream-1.0.0" + sources."levn-0.3.0" sources."lie-3.3.0" sources."lodash-4.17.15" (sources."log-6.0.0" // { @@ -73838,14 +74742,11 @@ in sources."lru-cache-4.1.5" sources."lru-queue-0.1.0" sources."lsmod-1.0.0" - (sources."make-dir-1.3.0" // { - dependencies = [ - sources."pify-3.0.0" - ]; - }) + sources."make-dir-2.1.0" sources."map-cache-0.2.2" sources."map-visit-1.0.0" sources."memoizee-0.4.14" + sources."memory-fs-0.5.0" sources."merge2-1.3.0" sources."methods-1.1.2" sources."micromatch-4.0.2" @@ -73857,11 +74758,9 @@ in sources."minimatch-3.0.4" sources."minimist-1.2.5" sources."mixin-deep-1.3.2" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" + sources."module-definition-3.3.0" + sources."module-lookup-amd-6.2.0" sources."moment-2.24.0" sources."ms-2.1.2" sources."mute-stream-0.0.7" @@ -73873,6 +74772,7 @@ in sources."nice-try-1.0.5" sources."node-dir-0.1.17" sources."node-fetch-1.7.3" + sources."node-source-walk-4.2.0" sources."normalize-path-3.0.0" sources."normalize-url-4.5.0" (sources."npm-conf-1.1.3" // { @@ -73906,6 +74806,7 @@ in sources."is-wsl-1.1.0" ]; }) + sources."optionator-0.8.3" sources."os-tmpdir-1.0.2" sources."p-cancelable-1.1.0" sources."p-event-2.3.1" @@ -73925,21 +74826,27 @@ in sources."path-is-inside-1.0.2" sources."path-key-2.0.1" sources."path-loader-1.0.10" + sources."path-parse-1.0.6" sources."path-type-4.0.0" sources."pend-1.2.0" - sources."picomatch-2.2.1" - sources."pify-2.3.0" + sources."picomatch-2.2.2" + sources."pify-4.0.1" sources."pinkie-2.0.4" sources."pinkie-promise-2.0.1" + sources."postcss-7.0.27" + sources."postcss-values-parser-1.5.0" + sources."precinct-6.2.0" + sources."prelude-ls-1.1.2" sources."prepend-http-2.0.0" sources."prettyoutput-1.2.0" sources."process-nextick-args-2.0.1" sources."promise-queue-2.2.5" sources."proto-list-1.2.4" + sources."prr-1.0.1" sources."pseudomap-1.0.2" sources."pump-3.0.0" sources."punycode-1.3.2" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."query-string-5.1.1" sources."querystring-0.2.0" sources."ramda-0.26.1" @@ -73957,6 +74864,10 @@ in sources."registry-url-5.1.0" sources."remove-trailing-separator-1.1.0" sources."replaceall-0.1.6" + sources."requirejs-2.3.6" + sources."requirejs-config-file-3.1.2" + sources."resolve-1.15.1" + sources."resolve-dependency-path-2.0.0" sources."resolve-url-0.2.1" sources."responselike-1.0.2" sources."restore-cursor-2.0.0" @@ -73969,6 +74880,7 @@ in sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" + sources."sass-lookup-3.0.0" sources."sax-1.2.1" (sources."seek-bzip-1.0.5" // { dependencies = [ @@ -74051,18 +74963,21 @@ in sources."strict-uri-encode-1.1.0" sources."string-width-3.1.0" sources."string_decoder-1.1.1" + sources."stringify-object-3.3.0" sources."strip-ansi-5.2.0" sources."strip-dirs-2.1.0" sources."strip-eof-1.0.0" sources."strip-json-comments-2.0.1" sources."strip-outer-1.0.1" + sources."stylus-lookup-3.0.2" (sources."superagent-3.8.3" // { dependencies = [ sources."debug-3.2.6" ]; }) - sources."supports-color-5.5.0" + sources."supports-color-6.1.0" sources."tabtab-3.0.2" + sources."tapable-1.1.3" sources."tar-stream-1.6.2" sources."term-size-1.2.0" sources."through-2.3.8" @@ -74080,17 +74995,22 @@ in sources."to-regex-3.0.2" sources."to-regex-range-5.0.1" sources."traverse-0.6.6" + sources."traverse-chain-0.1.0" sources."trim-repeated-1.0.0" sources."tslib-1.11.1" + sources."tsutils-3.17.1" sources."tunnel-agent-0.6.0" sources."type-2.0.0" + sources."type-check-0.3.2" sources."type-fest-0.11.0" + sources."typescript-3.8.3" sources."unbzip2-stream-1.3.3" (sources."union-value-1.0.1" // { dependencies = [ sources."is-extendable-0.1.1" ]; }) + sources."uniq-1.0.1" sources."unique-string-1.0.0" sources."universalify-0.1.2" (sources."unset-value-1.0.0" // { @@ -74138,6 +75058,7 @@ in sources."strip-ansi-4.0.0" ]; }) + sources."word-wrap-1.2.3" sources."wrappy-1.0.2" sources."write-file-atomic-2.4.3" sources."ws-7.2.3" @@ -74289,8 +75210,8 @@ in sources."mime-1.6.0" sources."mime-db-1.43.0" sources."mime-types-2.1.26" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."moment-2.7.0" sources."ms-2.0.0" sources."mute-stream-0.0.8" @@ -74689,8 +75610,8 @@ in sources."lru-cache-2.2.0" sources."mime-1.6.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."mv-2.1.1" sources."nan-2.14.0" sources."ncp-2.0.0" @@ -74789,10 +75710,10 @@ in snyk = nodeEnv.buildNodePackage { name = "snyk"; packageName = "snyk"; - version = "1.299.0"; + version = "1.304.0"; src = fetchurl { - url = "https://registry.npmjs.org/snyk/-/snyk-1.299.0.tgz"; - sha512 = "K2dlmwlmJpD7CZE8B8GguwIJmjOWdXzQ7UlcFIscg8y0tP8OT9a1gouLgBaU4uyS5J5wByipGNX1Cpwi6WRt5w=="; + url = "https://registry.npmjs.org/snyk/-/snyk-1.304.0.tgz"; + sha512 = "1wAkvgvzOyUbbKEMXGgY2UULcfvAYPywlC7Whm3DCffAdx8i1ZMT9hWaOZxhj5K94h3doY3LRb6Xq6lbfb0jDw=="; }; dependencies = [ sources."@snyk/cli-interface-2.3.2" @@ -74813,7 +75734,7 @@ in sources."@types/debug-4.1.5" sources."@types/events-3.0.0" sources."@types/js-yaml-3.12.2" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."@types/restify-4.3.6" sources."@types/semver-5.5.0" sources."@types/xml2js-0.4.3" @@ -74831,7 +75752,8 @@ in sources."ast-types-0.13.2" sources."async-1.5.2" sources."balanced-match-1.0.0" - (sources."bl-4.0.1" // { + sources."base64-js-1.3.1" + (sources."bl-4.0.2" // { dependencies = [ sources."readable-stream-3.6.0" sources."string_decoder-1.3.0" @@ -74839,6 +75761,7 @@ in }) sources."boxen-1.3.0" sources."brace-expansion-1.1.11" + sources."buffer-5.5.0" sources."buffer-from-1.1.1" sources."bytes-3.1.0" sources."camelcase-4.1.0" @@ -74938,6 +75861,7 @@ in }) sources."https-proxy-agent-3.0.1" sources."iconv-lite-0.4.24" + sources."ieee754-1.1.13" sources."immediate-3.0.6" sources."import-lazy-2.1.0" sources."imurmurhash-0.1.4" @@ -75066,7 +75990,7 @@ in sources."signal-exit-3.0.2" sources."smart-buffer-4.1.0" sources."snyk-config-2.2.3" - (sources."snyk-docker-plugin-2.2.2" // { + (sources."snyk-docker-plugin-2.6.1" // { dependencies = [ sources."debug-4.1.1" ]; @@ -75608,7 +76532,7 @@ in }) sources."epidemic-broadcast-trees-7.0.0" sources."errno-0.1.7" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."escape-string-regexp-1.0.5" sources."exit-hook-1.1.1" @@ -75655,7 +76579,7 @@ in sources."for-own-0.1.5" sources."fragment-cache-0.2.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."function-bind-1.1.1" sources."get-value-2.0.6" sources."glob-6.0.4" @@ -75813,11 +76737,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."monotonic-timestamp-0.0.9" sources."moo-0.5.1" sources."ms-2.1.2" @@ -76346,7 +77266,7 @@ in sources."async-1.5.2" sources."async-limiter-1.0.1" sources."asynckit-0.4.0" - (sources."aws-sdk-2.639.0" // { + (sources."aws-sdk-2.644.0" // { dependencies = [ sources."uuid-3.3.2" ]; @@ -76592,7 +77512,7 @@ in sources."https-proxy-agent-1.0.0" sources."iconv-lite-0.4.24" sources."ieee754-1.1.13" - sources."in-publish-2.0.0" + sources."in-publish-2.0.1" sources."indexof-0.0.1" sources."inflight-1.0.6" sources."inherits-2.0.4" @@ -76635,11 +77555,7 @@ in sources."json-schema-faker-0.2.16" sources."json-schema-traverse-0.4.1" sources."json-stringify-safe-5.0.1" - (sources."json5-1.0.1" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."json5-1.0.1" sources."jsonpointer-4.0.1" sources."jspath-0.3.4" (sources."jsprim-1.4.1" // { @@ -76712,9 +77628,9 @@ in sources."mimic-fn-2.1.0" sources."minicap-prebuilt-2.3.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minitouch-prebuilt-1.2.0" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."moment-2.24.0" sources."ms-2.1.2" sources."multer-1.4.2" @@ -76945,7 +77861,7 @@ in dependencies = [ sources."debug-3.2.6" sources."form-data-2.5.1" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."readable-stream-2.3.7" sources."string_decoder-1.1.1" ]; @@ -76958,7 +77874,7 @@ in sources."esprima-4.0.1" sources."js-yaml-3.13.1" sources."lodash-3.10.1" - sources."qs-6.9.1" + sources."qs-6.9.2" ]; }) sources."swagger-schema-official-2.0.0-bab6bed" @@ -77141,7 +78057,12 @@ in sources."css-select-base-adapter-0.1.1" sources."css-tree-1.0.0-alpha.37" sources."css-what-3.2.1" - sources."csso-4.0.2" + (sources."csso-4.0.3" // { + dependencies = [ + sources."css-tree-1.0.0-alpha.39" + sources."mdn-data-2.0.6" + ]; + }) sources."define-properties-1.1.3" (sources."dom-serializer-0.2.2" // { dependencies = [ @@ -77151,7 +78072,7 @@ in sources."domelementtype-1.3.1" sources."domutils-1.7.0" sources."entities-2.0.0" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."escape-string-regexp-1.0.5" sources."esprima-4.0.1" @@ -77165,8 +78086,8 @@ in sources."is-symbol-1.0.3" sources."js-yaml-3.13.1" sources."mdn-data-2.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."nth-check-1.0.2" sources."object-inspect-1.7.0" sources."object-keys-1.1.1" @@ -77388,7 +78309,7 @@ in sources."fresh-0.5.2" sources."fs-extra-0.24.0" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."get-stream-3.0.0" sources."get-value-2.0.6" sources."glob-7.1.6" @@ -77589,7 +78510,7 @@ in dependencies = [ sources."debug-3.2.6" sources."ms-2.1.2" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."superagent-3.8.3" ]; }) @@ -77837,7 +78758,7 @@ in sha256 = "886069ecc5eedf0371b948e8ff66e7f2943c85fe7cfdaa7183e1a3572d55852b"; }; dependencies = [ - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."ajv-6.12.0" sources."ansi-regex-4.1.0" sources."ansi-styles-3.2.1" @@ -77864,7 +78785,7 @@ in sources."debug-4.1.1" sources."decamelize-1.2.0" sources."delayed-stream-1.0.0" - sources."discord.js-11.6.2" + sources."discord.js-11.6.3" sources."ecc-jsbn-0.1.2" sources."emoji-regex-7.0.3" sources."esprima-4.0.1" @@ -78057,7 +78978,7 @@ in sources."define-properties-1.1.3" sources."diff-4.0.2" sources."error-ex-1.3.2" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."escape-string-regexp-1.0.5" sources."esprima-4.0.1" @@ -78101,11 +79022,7 @@ in sources."js-yaml-3.13.1" sources."json-parse-better-errors-1.0.2" sources."json-stable-stringify-1.0.1" - (sources."json5-2.1.1" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."json5-2.1.2" sources."jsonify-0.0.0" sources."levn-0.3.0" sources."load-json-file-1.1.0" @@ -78116,8 +79033,8 @@ in sources."markdown-escapes-1.0.4" sources."md5-2.2.1" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."normalize-package-data-2.5.0" sources."number-is-nan-1.0.1" @@ -78157,7 +79074,7 @@ in }) sources."readable-stream-2.3.7" sources."regexp.prototype.flags-1.3.0" - sources."remark-frontmatter-1.3.2" + sources."remark-frontmatter-1.3.3" sources."remark-parse-5.0.0" sources."repeat-string-1.6.1" sources."replace-ext-1.0.0" @@ -78268,7 +79185,7 @@ in }; dependencies = [ sources."define-properties-1.1.3" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."function-bind-1.1.1" sources."has-1.0.3" @@ -78480,7 +79397,7 @@ in sources."redent-1.0.0" sources."registry-auth-token-3.4.0" sources."registry-url-3.1.0" - sources."remark-frontmatter-1.3.2" + sources."remark-frontmatter-1.3.3" sources."remark-message-control-4.2.0" sources."remark-parse-4.0.0" sources."remark-retext-3.1.3" @@ -78745,7 +79662,7 @@ in sources."buffer-from-1.1.1" sources."concat-stream-2.0.0" sources."define-properties-1.1.3" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."function-bind-1.1.1" sources."has-1.0.3" @@ -78798,7 +79715,7 @@ in sources."define-properties-1.1.3" sources."emoji-regex-6.5.1" sources."end-with-1.0.2" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."function-bind-1.1.1" sources."has-1.0.3" @@ -78894,7 +79811,7 @@ in dependencies = [ sources."array-includes-3.1.1" sources."define-properties-1.1.3" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."function-bind-1.1.1" sources."has-1.0.3" @@ -78978,7 +79895,7 @@ in sources."@types/debug-4.1.5" sources."@types/http-cache-semantics-4.0.0" sources."@types/keyv-3.1.1" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."@types/responselike-1.0.0" sources."abbrev-1.1.1" sources."abstract-logging-1.0.0" @@ -79022,7 +79939,7 @@ in sources."buffer-equal-constant-time-1.0.1" sources."busboy-0.3.1" sources."bytes-3.1.0" - sources."cacheable-lookup-2.0.0" + sources."cacheable-lookup-2.0.1" sources."cacheable-request-7.0.1" sources."callsite-1.0.0" sources."caseless-0.12.0" @@ -79195,11 +80112,7 @@ in sources."minimist-1.2.5" sources."minipass-2.9.0" sources."minizlib-1.3.3" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."mute-stream-0.0.8" sources."nan-2.14.0" @@ -79915,7 +80828,7 @@ in sources."@sindresorhus/is-0.14.0" sources."@szmarczak/http-timer-1.1.2" sources."@types/color-name-1.1.1" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."abbrev-1.1.1" sources."accepts-1.3.7" sources."after-0.8.2" @@ -80198,7 +81111,7 @@ in sources."debug-4.1.1" sources."mime-2.4.4" sources."ms-2.1.2" - sources."qs-6.9.1" + sources."qs-6.9.2" sources."semver-6.3.0" ]; }) @@ -80263,7 +81176,7 @@ in sources."vscode-languageserver-protocol-3.15.3" sources."vscode-languageserver-protocol-foldingprovider-2.0.1" sources."vscode-languageserver-types-3.15.1" - sources."vscode-nls-4.1.1" + sources."vscode-nls-4.1.2" sources."vscode-uri-1.0.8" ]; buildInputs = globalBuildInputs; @@ -80288,12 +81201,12 @@ in sources."typescript-2.9.2" (sources."vscode-css-languageservice-3.0.13" // { dependencies = [ - sources."vscode-nls-4.1.1" + sources."vscode-nls-4.1.2" ]; }) (sources."vscode-html-languageservice-2.1.12" // { dependencies = [ - sources."vscode-nls-4.1.1" + sources."vscode-nls-4.1.2" ]; }) sources."vscode-jsonrpc-5.0.1" @@ -80487,8 +81400,8 @@ in sources."mime-types-2.1.26" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" + sources."minimist-1.2.5" + sources."mkdirp-0.5.4" sources."multimatch-2.1.0" sources."mute-stream-0.0.7" sources."neo-async-2.6.1" @@ -80501,7 +81414,11 @@ in sources."object-assign-4.1.1" sources."once-1.4.0" sources."onetime-2.0.1" - sources."optimist-0.6.1" + (sources."optimist-0.6.1" // { + dependencies = [ + sources."minimist-0.0.10" + ]; + }) sources."ora-1.4.0" sources."os-homedir-1.0.2" sources."os-tmpdir-1.0.2" @@ -80616,7 +81533,8 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - sources."@babel/highlight-7.8.3" + sources."@babel/helper-validator-identifier-7.9.0" + sources."@babel/highlight-7.9.0" sources."@emmetio/extract-abbreviation-0.1.6" sources."@mrmlnc/readdir-enhanced-2.2.1" sources."@nodelib/fs.stat-1.1.3" @@ -80632,7 +81550,7 @@ in sources."@starptech/rehype-webparser-0.10.0" sources."@starptech/webparser-0.10.0" sources."@szmarczak/http-timer-1.1.2" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."@types/unist-2.0.3" sources."@types/vfile-3.0.2" sources."@types/vfile-message-2.0.0" @@ -80853,7 +81771,11 @@ in sources."eslint-visitor-keys-1.1.0" sources."espree-5.0.1" sources."esprima-4.0.1" - sources."esquery-1.1.0" + (sources."esquery-1.2.0" // { + dependencies = [ + sources."estraverse-5.0.0" + ]; + }) sources."esrecurse-4.2.1" sources."estraverse-4.3.0" sources."esutils-2.0.3" @@ -80924,7 +81846,7 @@ in sources."format-0.2.2" sources."fragment-cache-0.2.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."function-bind-1.1.1" sources."functional-red-black-tree-1.0.1" sources."get-caller-file-1.0.3" @@ -80971,14 +81893,14 @@ in sources."has-yarn-2.1.0" sources."hast-util-embedded-1.0.5" sources."hast-util-has-property-1.0.4" - sources."hast-util-is-body-ok-link-1.0.2" + sources."hast-util-is-body-ok-link-1.0.3" sources."hast-util-is-element-1.0.4" sources."hast-util-parse-selector-2.2.4" - sources."hast-util-to-string-1.0.2" + sources."hast-util-to-string-1.0.3" sources."hast-util-whitespace-1.0.4" sources."hosted-git-info-2.8.8" sources."html-void-elements-1.0.5" - sources."html-whitespace-sensitive-tag-names-1.0.1" + sources."html-whitespace-sensitive-tag-names-1.0.2" sources."http-cache-semantics-4.1.0" sources."iconv-lite-0.4.24" sources."ignore-3.3.10" @@ -81050,7 +81972,7 @@ in sources."json-parse-better-errors-1.0.2" sources."json-schema-traverse-0.4.1" sources."json-stable-stringify-without-jsonify-1.0.1" - sources."json5-2.1.1" + sources."json5-2.1.2" sources."jsonc-parser-1.0.3" sources."keyv-3.1.0" sources."kind-of-6.0.3" @@ -81111,11 +82033,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."mout-0.5.0" sources."ms-2.1.2" sources."mute-stream-0.0.7" @@ -81556,7 +82474,7 @@ in sources."vscode-languageserver-protocol-3.15.3" sources."vscode-languageserver-textdocument-1.0.1" sources."vscode-languageserver-types-3.15.1" - sources."vscode-nls-4.1.1" + sources."vscode-nls-4.1.2" sources."vscode-textbuffer-1.0.0" sources."vscode-uri-1.0.8" (sources."vue-eslint-parser-6.0.5" // { @@ -81615,7 +82533,8 @@ in }; dependencies = [ sources."@babel/code-frame-7.8.3" - (sources."@babel/highlight-7.8.3" // { + sources."@babel/helper-validator-identifier-7.9.0" + (sources."@babel/highlight-7.9.0" // { dependencies = [ sources."ansi-styles-3.2.1" sources."chalk-2.4.2" @@ -81627,14 +82546,14 @@ in }) sources."@babel/polyfill-7.7.0" sources."@babel/runtime-7.7.7" - sources."@babel/runtime-corejs3-7.8.7" + sources."@babel/runtime-corejs3-7.9.2" sources."@cliqz-oss/firefox-client-0.3.1" sources."@cliqz-oss/node-firefox-connect-1.2.1" sources."@sindresorhus/is-0.14.0" sources."@szmarczak/http-timer-1.1.2" sources."@types/color-name-1.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."JSONSelect-0.2.1" sources."acorn-6.4.1" sources."acorn-jsx-5.2.0" @@ -81773,7 +82692,7 @@ in sources."cheerio-1.0.0-rc.3" (sources."chokidar-2.1.8" // { dependencies = [ - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."normalize-path-3.0.0" ]; }) @@ -81894,7 +82813,7 @@ in sources."end-of-stream-1.4.4" sources."entities-1.1.2" sources."error-ex-1.3.2" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."es5-ext-0.10.53" sources."es6-error-4.1.1" @@ -81980,7 +82899,11 @@ in ]; }) sources."esprima-4.0.1" - sources."esquery-1.1.0" + (sources."esquery-1.2.0" // { + dependencies = [ + sources."estraverse-5.0.0" + ]; + }) sources."esrecurse-4.2.1" sources."estraverse-4.3.0" sources."esutils-2.0.3" @@ -82700,30 +83623,30 @@ in webpack = nodeEnv.buildNodePackage { name = "webpack"; packageName = "webpack"; - version = "4.42.0"; + version = "4.42.1"; src = fetchurl { - url = "https://registry.npmjs.org/webpack/-/webpack-4.42.0.tgz"; - sha512 = "EzJRHvwQyBiYrYqhyjW9AqM90dE4+s1/XtCfn7uWg6cS72zH+2VPFAlsnW0+W0cDi0XRjNKUMoJtpSi50+Ph6w=="; + url = "https://registry.npmjs.org/webpack/-/webpack-4.42.1.tgz"; + sha512 = "SGfYMigqEfdGchGhFFJ9KyRpQKnipvEvjc1TwrXEPCM6H5Wywu10ka8o3KGrMzSMxMQKt8aCHUFh5DaQ9UmyRg=="; }; dependencies = [ - sources."@webassemblyjs/ast-1.8.5" - sources."@webassemblyjs/floating-point-hex-parser-1.8.5" - sources."@webassemblyjs/helper-api-error-1.8.5" - sources."@webassemblyjs/helper-buffer-1.8.5" - sources."@webassemblyjs/helper-code-frame-1.8.5" - sources."@webassemblyjs/helper-fsm-1.8.5" - sources."@webassemblyjs/helper-module-context-1.8.5" - sources."@webassemblyjs/helper-wasm-bytecode-1.8.5" - sources."@webassemblyjs/helper-wasm-section-1.8.5" - sources."@webassemblyjs/ieee754-1.8.5" - sources."@webassemblyjs/leb128-1.8.5" - sources."@webassemblyjs/utf8-1.8.5" - sources."@webassemblyjs/wasm-edit-1.8.5" - sources."@webassemblyjs/wasm-gen-1.8.5" - sources."@webassemblyjs/wasm-opt-1.8.5" - sources."@webassemblyjs/wasm-parser-1.8.5" - sources."@webassemblyjs/wast-parser-1.8.5" - sources."@webassemblyjs/wast-printer-1.8.5" + sources."@webassemblyjs/ast-1.9.0" + sources."@webassemblyjs/floating-point-hex-parser-1.9.0" + sources."@webassemblyjs/helper-api-error-1.9.0" + sources."@webassemblyjs/helper-buffer-1.9.0" + sources."@webassemblyjs/helper-code-frame-1.9.0" + sources."@webassemblyjs/helper-fsm-1.9.0" + sources."@webassemblyjs/helper-module-context-1.9.0" + sources."@webassemblyjs/helper-wasm-bytecode-1.9.0" + sources."@webassemblyjs/helper-wasm-section-1.9.0" + sources."@webassemblyjs/ieee754-1.9.0" + sources."@webassemblyjs/leb128-1.9.0" + sources."@webassemblyjs/utf8-1.9.0" + sources."@webassemblyjs/wasm-edit-1.9.0" + sources."@webassemblyjs/wasm-gen-1.9.0" + sources."@webassemblyjs/wasm-opt-1.9.0" + sources."@webassemblyjs/wasm-parser-1.9.0" + sources."@webassemblyjs/wast-parser-1.9.0" + sources."@webassemblyjs/wast-printer-1.9.0" sources."@xtuc/ieee754-1.2.0" sources."@xtuc/long-4.2.2" sources."acorn-6.4.1" @@ -82779,7 +83702,7 @@ in sources."buffer-from-1.1.1" sources."buffer-xor-1.0.3" sources."builtin-status-codes-3.0.0" - sources."cacache-12.0.3" + sources."cacache-12.0.4" sources."cache-base-1.0.1" sources."chokidar-2.1.8" sources."chownr-1.1.4" @@ -82870,7 +83793,7 @@ in }) sources."fast-deep-equal-3.1.1" sources."fast-json-stable-stringify-2.1.0" - sources."figgy-pudding-3.5.1" + sources."figgy-pudding-3.5.2" sources."file-uri-to-path-1.0.0" (sources."fill-range-4.0.0" // { dependencies = [ @@ -82885,7 +83808,7 @@ in sources."from2-2.3.0" sources."fs-write-stream-atomic-1.0.10" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."get-value-2.0.6" sources."glob-7.1.6" (sources."glob-parent-3.1.0" // { @@ -82937,7 +83860,6 @@ in sources."locate-path-3.0.0" sources."lru-cache-5.1.1" sources."make-dir-2.1.0" - sources."mamacro-0.0.3" sources."map-cache-0.2.2" sources."map-visit-1.0.0" sources."md5.js-1.3.5" @@ -82954,11 +83876,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."move-concurrently-1.0.1" sources."ms-2.0.0" sources."nan-2.14.0" @@ -83104,7 +84022,7 @@ in sources."stream-shift-1.0.1" sources."string_decoder-1.1.1" sources."tapable-1.1.3" - (sources."terser-4.6.6" // { + (sources."terser-4.6.7" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -83519,7 +84437,7 @@ in sources."@types/events-3.0.0" sources."@types/glob-7.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-13.9.1" + sources."@types/node-13.9.3" sources."accepts-1.3.7" sources."ajv-6.12.0" sources."ajv-errors-1.0.1" @@ -83644,7 +84562,7 @@ in sources."encodeurl-1.0.2" sources."end-of-stream-1.4.4" sources."errno-0.1.7" - sources."es-abstract-1.17.4" + sources."es-abstract-1.17.5" sources."es-to-primitive-1.2.1" sources."escape-html-1.0.3" sources."etag-1.8.1" @@ -83713,7 +84631,7 @@ in sources."fragment-cache-0.2.1" sources."fresh-0.5.2" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.11" + sources."fsevents-1.2.12" sources."function-bind-1.1.1" sources."get-caller-file-1.0.3" sources."get-stream-4.1.0" @@ -83813,9 +84731,9 @@ in sources."mimic-fn-2.1.0" sources."minimalistic-assert-1.0.1" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."mixin-deep-1.3.2" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."multicast-dns-6.2.3" sources."multicast-dns-service-types-1.1.0" @@ -84128,7 +85046,7 @@ in sources."bluebird-3.7.2" sources."brace-expansion-1.1.11" sources."buffer-from-1.1.1" - sources."cacache-12.0.3" + sources."cacache-12.0.4" sources."chownr-1.1.4" sources."commondir-1.0.1" sources."concat-map-0.0.1" @@ -84142,7 +85060,7 @@ in sources."end-of-stream-1.4.4" sources."fast-deep-equal-3.1.1" sources."fast-json-stable-stringify-2.1.0" - sources."figgy-pudding-3.5.1" + sources."figgy-pudding-3.5.2" sources."find-cache-dir-2.1.0" sources."find-up-3.0.0" sources."flush-write-stream-1.1.1" @@ -84171,19 +85089,15 @@ in sources."is-glob-4.0.1" sources."isarray-1.0.0" sources."json-schema-traverse-0.4.1" - (sources."json5-1.0.1" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."json5-1.0.1" sources."loader-utils-1.4.0" sources."locate-path-3.0.0" sources."lru-cache-5.1.1" sources."make-dir-2.1.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."mississippi-3.0.0" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."move-concurrently-1.0.1" sources."normalize-path-3.0.0" sources."once-1.4.0" @@ -84399,11 +85313,7 @@ in sources."mimic-response-1.0.1" sources."minimatch-3.0.4" sources."minimist-1.2.5" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."moment-2.24.0" sources."mp4-box-encoding-1.4.1" sources."mp4-stream-3.1.0" @@ -84628,7 +85538,7 @@ in }) sources."vscode-languageserver-textdocument-1.0.1" sources."vscode-languageserver-types-3.15.1" - sources."vscode-nls-4.1.1" + sources."vscode-nls-4.1.2" sources."vscode-uri-2.1.1" sources."yaml-ast-parser-custom-tags-0.0.43" ]; @@ -84669,7 +85579,7 @@ in sha512 = "GFg4QC1xi3gkbHGGUFme8/8XPg3kDISu/qJfx56X207yuv1FSevGY/eKuym7kh0bniCB4n3rseWW+QZXPH8LIw=="; }; dependencies = [ - sources."@babel/runtime-7.8.7" + sources."@babel/runtime-7.9.2" sources."@mrmlnc/readdir-enhanced-2.2.1" sources."@nodelib/fs.stat-1.1.3" sources."@sindresorhus/is-0.7.0" @@ -85043,11 +85953,7 @@ in sources."is-extendable-1.0.1" ]; }) - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) + sources."mkdirp-0.5.4" sources."ms-2.0.0" sources."mute-stream-0.0.7" sources."nanomatch-1.2.13" @@ -85440,4 +86346,4 @@ in bypassCache = true; reconstructLock = true; }; -} +} \ No newline at end of file diff --git a/pkgs/development/node-packages/node-packages-v12.nix b/pkgs/development/node-packages/node-packages-v12.nix index faf2cd7d21f..f2e633300c7 100644 --- a/pkgs/development/node-packages/node-packages-v12.nix +++ b/pkgs/development/node-packages/node-packages-v12.nix @@ -1309,15 +1309,6 @@ let sha512 = "yJHVQEhyqPLUTgt9B83PXu6W3rx4MvvHvSUvToogpwoGDOUQ+yDrR0HRot+yOCdCO7u4hX3pWft6kWBBcqh0UA=="; }; }; - "minimist-0.0.8" = { - name = "minimist"; - packageName = "minimist"; - version = "0.0.8"; - src = fetchurl { - url = "https://registry.npmjs.org/minimist/-/minimist-0.0.8.tgz"; - sha1 = "857fcabfc3397d2625b8228262e86aa7a011b05d"; - }; - }; "minimist-1.2.5" = { name = "minimist"; packageName = "minimist"; @@ -1381,13 +1372,13 @@ let sha1 = "de3e5f8961c88c787ee1368df849ac4413eca8d7"; }; }; - "mkdirp-0.5.1" = { + "mkdirp-0.5.4" = { name = "mkdirp"; packageName = "mkdirp"; - version = "0.5.1"; + version = "0.5.4"; src = fetchurl { - url = "https://registry.npmjs.org/mkdirp/-/mkdirp-0.5.1.tgz"; - sha1 = "30057438eac6cf7f8c4767f38648d6697d75c903"; + url = "https://registry.npmjs.org/mkdirp/-/mkdirp-0.5.4.tgz"; + sha512 = "iG9AK/dJLtJ0XNgTuDbSyNS3zECqDlAhnQW4CsNxBG3LQJBbHmRX1egw39DmtOdCAqY+dKXV+sgPgilNWUKMVw=="; }; }; "mkdirp-1.0.3" = { @@ -2863,10 +2854,10 @@ in sources."mime-db-1.43.0" sources."mime-types-2.1.26" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-3.1.1" sources."minizlib-2.1.0" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ncp-0.4.2" sources."nijs-0.0.25" sources."nopt-3.0.6" @@ -3034,10 +3025,10 @@ in sources."mime-db-1.43.0" sources."mime-types-2.1.26" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-2.9.0" sources."minizlib-1.3.3" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."nopt-4.0.3" sources."npmlog-4.1.2" sources."number-is-nan-1.0.1" @@ -3143,10 +3134,10 @@ in sources."is-fullwidth-code-point-1.0.0" sources."isarray-1.0.0" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-2.9.0" sources."minizlib-1.3.3" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ms-2.1.2" sources."needle-2.4.0" sources."nopt-4.0.3" @@ -3162,11 +3153,7 @@ in sources."osenv-0.1.5" sources."path-is-absolute-1.0.1" sources."process-nextick-args-2.0.1" - (sources."rc-1.2.8" // { - dependencies = [ - sources."minimist-1.2.5" - ]; - }) + sources."rc-1.2.8" sources."readable-stream-2.3.7" sources."rimraf-2.7.1" sources."safe-buffer-5.1.2" diff --git a/pkgs/development/node-packages/node-packages-v13.nix b/pkgs/development/node-packages/node-packages-v13.nix index e83aa90962c..f87526382b9 100644 --- a/pkgs/development/node-packages/node-packages-v13.nix +++ b/pkgs/development/node-packages/node-packages-v13.nix @@ -598,13 +598,13 @@ let sha512 = "yJHVQEhyqPLUTgt9B83PXu6W3rx4MvvHvSUvToogpwoGDOUQ+yDrR0HRot+yOCdCO7u4hX3pWft6kWBBcqh0UA=="; }; }; - "minimist-0.0.8" = { + "minimist-1.2.5" = { name = "minimist"; packageName = "minimist"; - version = "0.0.8"; + version = "1.2.5"; src = fetchurl { - url = "https://registry.npmjs.org/minimist/-/minimist-0.0.8.tgz"; - sha1 = "857fcabfc3397d2625b8228262e86aa7a011b05d"; + url = "https://registry.npmjs.org/minimist/-/minimist-1.2.5.tgz"; + sha512 = "FM9nNUYrRBAELZQT3xeZQ7fmMOBg6nWNmJKTcgsJeaLstP/UODVpGsr5OhXhhXg6f+qtJ8uiZ+PUxkDWcgIXLw=="; }; }; "minipass-3.1.1" = { @@ -634,13 +634,13 @@ let sha1 = "de3e5f8961c88c787ee1368df849ac4413eca8d7"; }; }; - "mkdirp-0.5.1" = { + "mkdirp-0.5.4" = { name = "mkdirp"; packageName = "mkdirp"; - version = "0.5.1"; + version = "0.5.4"; src = fetchurl { - url = "https://registry.npmjs.org/mkdirp/-/mkdirp-0.5.1.tgz"; - sha1 = "30057438eac6cf7f8c4767f38648d6697d75c903"; + url = "https://registry.npmjs.org/mkdirp/-/mkdirp-0.5.4.tgz"; + sha512 = "iG9AK/dJLtJ0XNgTuDbSyNS3zECqDlAhnQW4CsNxBG3LQJBbHmRX1egw39DmtOdCAqY+dKXV+sgPgilNWUKMVw=="; }; }; "mkdirp-1.0.3" = { @@ -1342,10 +1342,10 @@ in sources."mime-db-1.43.0" sources."mime-types-2.1.26" sources."minimatch-3.0.4" - sources."minimist-0.0.8" + sources."minimist-1.2.5" sources."minipass-3.1.1" sources."minizlib-2.1.0" - sources."mkdirp-0.5.1" + sources."mkdirp-0.5.4" sources."ncp-0.4.2" sources."nijs-0.0.25" sources."nopt-3.0.6" diff --git a/pkgs/development/node-packages/shell-generate.nix b/pkgs/development/node-packages/shell-generate.nix deleted file mode 100644 index aa635b2a81e..00000000000 --- a/pkgs/development/node-packages/shell-generate.nix +++ /dev/null @@ -1,8 +0,0 @@ -{ nixpkgs ? import ../../.. {} }: -with nixpkgs; -mkShell { - buildInputs = [ - bash nodePackages.node2nix - ]; - NODE_NIXPKGS_PATH = builtins.toString ../../../.; -} diff --git a/pkgs/development/ocaml-modules/dune-configurator/default.nix b/pkgs/development/ocaml-modules/dune-configurator/default.nix index d84c21565db..aa12ebc8d79 100644 --- a/pkgs/development/ocaml-modules/dune-configurator/default.nix +++ b/pkgs/development/ocaml-modules/dune-configurator/default.nix @@ -3,6 +3,8 @@ buildDunePackage rec { pname = "dune-configurator"; + useDune2 = true; + inherit (dune_2) src version; dontAddPrefix = true; diff --git a/pkgs/development/ocaml-modules/dune-private-libs/default.nix b/pkgs/development/ocaml-modules/dune-private-libs/default.nix index 1c3503f11a1..14059070c9a 100644 --- a/pkgs/development/ocaml-modules/dune-private-libs/default.nix +++ b/pkgs/development/ocaml-modules/dune-private-libs/default.nix @@ -3,6 +3,8 @@ buildDunePackage rec { pname = "dune-private-libs"; + useDune2 = true; + inherit (dune_2) src version; dontAddPrefix = true; diff --git a/pkgs/development/ocaml-modules/eigen/default.nix b/pkgs/development/ocaml-modules/eigen/default.nix index 3922b5cfec7..dacd3a75862 100644 --- a/pkgs/development/ocaml-modules/eigen/default.nix +++ b/pkgs/development/ocaml-modules/eigen/default.nix @@ -1,9 +1,11 @@ -{ stdenv, buildDune2Package, fetchFromGitHub, ctypes, libcxx }: +{ stdenv, buildDunePackage, fetchFromGitHub, ctypes, libcxx }: -buildDune2Package rec { +buildDunePackage rec { pname = "eigen"; version = "0.2.0"; + useDune2 = true; + src = fetchFromGitHub { owner = "owlbarn"; repo = pname; diff --git a/pkgs/development/ocaml-modules/graphics/default.nix b/pkgs/development/ocaml-modules/graphics/default.nix new file mode 100644 index 00000000000..6a3217431b4 --- /dev/null +++ b/pkgs/development/ocaml-modules/graphics/default.nix @@ -0,0 +1,23 @@ +{ lib, fetchurl, buildDunePackage, dune-configurator, libX11 }: + +buildDunePackage rec { + + pname = "graphics"; + version = "5.1.0"; + + useDune2 = true; + + src = fetchurl { + url = "https://github.com/ocaml/graphics/releases/download/${version}/graphics-${version}.tbz"; + sha256 = "16z997mp0ccilaqqvmz3wp7vx0ghaf4ik9qklgd4piklcl1yv5n5"; + }; + + buildInputs = [ dune-configurator ]; + propagatedBuildInputs = [ libX11 ]; + + meta = { + homepage = "https://github.com/ocaml/graphics"; + description = "A set of portable drawing primitives"; + license = lib.licenses.lgpl2; + }; +} diff --git a/pkgs/development/ocaml-modules/owl-base/default.nix b/pkgs/development/ocaml-modules/owl-base/default.nix index ce6ee124466..9d2bf74a3ac 100644 --- a/pkgs/development/ocaml-modules/owl-base/default.nix +++ b/pkgs/development/ocaml-modules/owl-base/default.nix @@ -1,9 +1,11 @@ -{ stdenv, buildDune2Package, fetchFromGitHub, stdlib-shims }: +{ stdenv, buildDunePackage, fetchFromGitHub, stdlib-shims }: -buildDune2Package rec { +buildDunePackage rec { pname = "owl-base"; version = "0.8.0"; + useDune2 = true; + src = fetchFromGitHub { owner = "owlbarn"; repo = "owl"; diff --git a/pkgs/development/ocaml-modules/owl/default.nix b/pkgs/development/ocaml-modules/owl/default.nix index c6eaf69b704..7fa912d808d 100644 --- a/pkgs/development/ocaml-modules/owl/default.nix +++ b/pkgs/development/ocaml-modules/owl/default.nix @@ -1,5 +1,5 @@ { stdenv -, buildDune2Package +, buildDunePackage , dune-configurator , fetchFromGitHub , alcotest @@ -11,10 +11,10 @@ , npy }: -buildDune2Package rec { +buildDunePackage rec { pname = "owl"; - inherit (owl-base) version src meta; + inherit (owl-base) version src meta useDune2; checkInputs = [ alcotest ]; buildInputs = [ dune-configurator ]; diff --git a/pkgs/development/ocaml-modules/phylogenetics/default.nix b/pkgs/development/ocaml-modules/phylogenetics/default.nix index 049a9a97c8e..87e88cbf496 100644 --- a/pkgs/development/ocaml-modules/phylogenetics/default.nix +++ b/pkgs/development/ocaml-modules/phylogenetics/default.nix @@ -1,10 +1,12 @@ -{ stdenv, buildDune2Package, fetchFromGitHub, ppx_deriving +{ stdenv, buildDunePackage, fetchFromGitHub, ppx_deriving , alcotest, biocaml, gnuplot, lacaml, menhir, owl }: -buildDune2Package rec { +buildDunePackage rec { pname = "phylogenetics"; version = "unstable-2019-11-15"; + useDune2 = true; + src = fetchFromGitHub { owner = "biocaml"; repo = pname; diff --git a/pkgs/development/python-modules/aioconsole/default.nix b/pkgs/development/python-modules/aioconsole/default.nix index 36a1c1b76e4..6e867d0b0f6 100644 --- a/pkgs/development/python-modules/aioconsole/default.nix +++ b/pkgs/development/python-modules/aioconsole/default.nix @@ -10,11 +10,11 @@ # wrapped to be able to find aioconsole and any other packages. buildPythonPackage rec { pname = "aioconsole"; - version = "0.1.15"; + version = "0.1.16"; src = fetchPypi { inherit pname version; - sha256 = "0gbl08p89959g8dqy2vainppg3kyf948xlh18p7iwk5p0mw5d3j9"; + sha256 = "0yk4ghvg47drfvdrrcw7nk14pg4shccmyhln9d8hy1lyafcqmnd5"; }; # hardcodes a test dependency on an old version of pytest-asyncio @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = { description = "Asynchronous console and interfaces for asyncio"; - homepage = https://github.com/vxgmichel/aioconsole; + homepage = "https://github.com/vxgmichel/aioconsole"; license = lib.licenses.gpl3; maintainers = [ lib.maintainers.catern ]; }; diff --git a/pkgs/development/python-modules/aiohttp-socks/default.nix b/pkgs/development/python-modules/aiohttp-socks/default.nix index 782238c3f83..792db7091d0 100644 --- a/pkgs/development/python-modules/aiohttp-socks/default.nix +++ b/pkgs/development/python-modules/aiohttp-socks/default.nix @@ -2,12 +2,12 @@ buildPythonPackage rec { pname = "aiohttp-socks"; - version = "0.3.4"; + version = "0.3.6"; src = fetchPypi { inherit version; pname = "aiohttp_socks"; - sha256 = "1gc74a0i0slq3gn9kv3scn7c9x444z5nwjm3d14qilsgq6civsnd"; + sha256 = "0js7p9qj5x6k8i2cby4c6mw6xrp4dy4m82f3n1l8rz00qibmj37j"; }; propagatedBuildInputs = [ aiohttp attrs ]; @@ -20,6 +20,6 @@ buildPythonPackage rec { meta = { description = "SOCKS proxy connector for aiohttp"; license = lib.licenses.asl20; - homepage = https://github.com/romis2012/aiohttp-socks; + homepage = "https://github.com/romis2012/aiohttp-socks"; }; } diff --git a/pkgs/development/python-modules/azure-common/default.nix b/pkgs/development/python-modules/azure-common/default.nix index b842a66cd27..abf27b5d7ef 100644 --- a/pkgs/development/python-modules/azure-common/default.nix +++ b/pkgs/development/python-modules/azure-common/default.nix @@ -9,14 +9,14 @@ }: buildPythonPackage rec { - version = "1.1.24"; + version = "1.1.25"; pname = "azure-common"; disabled = isPyPy; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "184ad6a05a3089dfdc1ce07c1cbfa489bbc45b5f6f56e848cac0851e6443da21"; + sha256 = "ce0f1013e6d0e9faebaf3188cc069f4892fc60a6ec552e3f817c1a2f92835054"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-core/default.nix b/pkgs/development/python-modules/azure-core/default.nix index 24675200b5b..2e87db4fb6c 100644 --- a/pkgs/development/python-modules/azure-core/default.nix +++ b/pkgs/development/python-modules/azure-core/default.nix @@ -1,6 +1,7 @@ { lib, buildPythonPackage, fetchPypi, isPy27 , aiodns , aiohttp +, mock , msrest , pytest , pytestCheckHook @@ -11,14 +12,14 @@ }: buildPythonPackage rec { - version = "1.2.2"; + version = "1.3.0"; pname = "azure-core"; disabled = isPy27; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "0bz4m6kmqymxlxf9qk6jw8v895d13rsggbgsjpsbvi9px6w15nwb"; + sha256 = "98d03a35845fe5b6abaa32f5961214da3e16c4c82b8c601926fc5e7f3a39549e"; }; propagatedBuildInputs = [ @@ -29,6 +30,7 @@ buildPythonPackage rec { checkInputs = [ aiodns aiohttp + mock msrest pytest pytestCheckHook diff --git a/pkgs/development/python-modules/azure-identity/default.nix b/pkgs/development/python-modules/azure-identity/default.nix index e4b894eca64..78fd7402098 100644 --- a/pkgs/development/python-modules/azure-identity/default.nix +++ b/pkgs/development/python-modules/azure-identity/default.nix @@ -17,13 +17,13 @@ buildPythonPackage rec { pname = "azure-identity"; - version = "1.1.0"; + version = "1.3.0"; disabled = isPy38; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "1xn4nwi4vly8n3mmphv0wbdg9k55gsgmk3fdwma8rm3m3c7593hc"; + sha256 = "17fa904e0447fd2a2dc19909379edb769b05656dbaf4863b8c4fdfb2bb54350c"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-keyvault-keys/default.nix b/pkgs/development/python-modules/azure-keyvault-keys/default.nix index 34629e6eb48..de2b87ecb5c 100644 --- a/pkgs/development/python-modules/azure-keyvault-keys/default.nix +++ b/pkgs/development/python-modules/azure-keyvault-keys/default.nix @@ -10,13 +10,13 @@ buildPythonPackage rec { pname = "azure-keyvault-keys"; - version = "4.0.0"; + version = "4.1.0"; disabled = isPy27; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "1l1fwm8plzr5zbv02nlvs0i8ssmd88cxm5lb19i54b3scci77hiq"; + sha256 = "f9967b4deb48e619f6c40558f69e48978779cc09c8a7fad33d536cfc41cd68f9"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-keyvault-secrets/default.nix b/pkgs/development/python-modules/azure-keyvault-secrets/default.nix index e2b40c29d85..b4749711b9f 100644 --- a/pkgs/development/python-modules/azure-keyvault-secrets/default.nix +++ b/pkgs/development/python-modules/azure-keyvault-secrets/default.nix @@ -6,13 +6,13 @@ buildPythonPackage rec { pname = "azure-keyvault-secrets"; - version = "4.0.0"; + version = "4.1.0"; disabled = isPy27; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "066p4x2ixasz6qbxss2ilchl73w1kh2nc32lgh8qygl3d90059lp"; + sha256 = "4f3bfac60e025e01dd1c1998b73649d45d706975356c0cf147174cf5a6ddf8be"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix b/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix index c535971c7bf..2dadb1d2cb8 100644 --- a/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix @@ -8,12 +8,12 @@ buildPythonPackage rec { pname = "azure-mgmt-cognitiveservices"; - version = "5.0.0"; + version = "6.0.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "1m7v3rfkvmdgghrpz15fm8pvmmhi40lcwfxdm2kxh7mx01r5l906"; + sha256 = "d03641336f4b2ec561112655c93ee80bc28d8e8daa45a57abc36169bd19c07a0"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix b/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix index 41124e44854..20861a9efe3 100644 --- a/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-containerservice"; - version = "8.2.0"; + version = "9.0.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "0czn781ywbwi8px54nlccsvw5s13y4wqmxhcrxkkl0y7rblqi5pr"; + sha256 = "6f05948bbd19ceb894f46f037b77c54116183364a671e180c007b5737c8d4590"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix b/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix index 0d610eebe9c..e7da7541dd9 100644 --- a/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-datafactory"; - version = "0.8.0"; + version = "0.10.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "0rv3443h4f9n88ky0fkfrp6jhf7ck9w3v96q040g3c2vkkywsnwa"; + sha256 = "48b1ec81f30f4b5f38dd17f68f0dfc968db96e0a04fdcfc99f43f80ca041f14b"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix b/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix index 789784babfb..c23ebfc2ce9 100644 --- a/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-hanaonazure"; - version = "0.12.0"; + version = "0.13.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "cc844a152079e0d18fb5f47663a24cb204982925425c1fb51bac53894885000b"; + sha256 = "cc4058174e371a0b68b305cd5a082fcca47f3446dd9aefd9ada72da4bd637da9"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix b/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix index 29069d71b88..5ba25e11a89 100644 --- a/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-iotcentral"; - version = "2.0.0"; + version = "3.0.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "1fql0j28d2r6slgabb7b438gdga513iskqh4al6c7dsmj1yzdzwa"; + sha256 = "f6dacf442ccae2f18f1082e80bcbdcaa8c0efa2ba92b48c5db6ee01d37240047"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-iothub/default.nix b/pkgs/development/python-modules/azure-mgmt-iothub/default.nix index 1e4c8923d7d..57ec64f3d56 100644 --- a/pkgs/development/python-modules/azure-mgmt-iothub/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-iothub/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-iothub"; - version = "0.10.0"; + version = "0.11.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "1xms5wf21z9i28xl0p515xb08mrlsqnzhxcwv7pjlf26lwxadfi0"; + sha256 = "f6fbb87d9bf29ce325543c9a3a125fbe45f4797f3a7f260c6c21ca60dc3f0885"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix b/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix index 43f0394669b..336bba6a170 100644 --- a/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix @@ -11,12 +11,12 @@ buildPythonPackage rec { pname = "azure-mgmt-keyvault"; - version = "2.1.1"; + version = "2.2.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "0ga6lzqlinfxlzx1g35a5sv5chjx4im0m4b8i33hqrhmdv9m7ypg"; + sha256 = "1883e12eeb5819064dc52bf3a3ade05c791f4b66e4aeec948bda28df6ce2bce4"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-kusto/default.nix b/pkgs/development/python-modules/azure-mgmt-kusto/default.nix index 53aeff46c58..08a9b6b507e 100644 --- a/pkgs/development/python-modules/azure-mgmt-kusto/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-kusto/default.nix @@ -5,13 +5,13 @@ }: buildPythonPackage rec { - version = "0.5.0"; + version = "0.7.0"; pname = "azure-mgmt-kusto"; disabled = isPy27; src = fetchPypi { inherit pname version; - sha256 = "0r6j3yp7ys0zgszqdjm6y90nigsapni4xhfpfgyk5c5qbgdpl93w"; + sha256 = "40f8e62db145d31731018b83baf2d1a78b585514c373dd3c095f1f6835d17d23"; extension = "zip"; }; diff --git a/pkgs/development/python-modules/azure-mgmt-monitor/default.nix b/pkgs/development/python-modules/azure-mgmt-monitor/default.nix index 252760950e5..ccefd96ce73 100644 --- a/pkgs/development/python-modules/azure-mgmt-monitor/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-monitor/default.nix @@ -11,12 +11,12 @@ buildPythonPackage rec { pname = "azure-mgmt-monitor"; - version = "0.7.0"; + version = "0.8.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "1pprvk5255b6brbw73g0g13zygwa7a2px5x08wy3153rqlzan5l2"; + sha256 = "09bhk6kpf1j1kgsyfdrfmfixrdj0iikx25dr1mh9dc6lci07i1cx"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-network/default.nix b/pkgs/development/python-modules/azure-mgmt-network/default.nix index ac99b98f0e5..00480ac38bc 100644 --- a/pkgs/development/python-modules/azure-mgmt-network/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-network/default.nix @@ -7,13 +7,13 @@ }: buildPythonPackage rec { - version = "9.0.0"; + version = "10.0.0"; pname = "azure-mgmt-network"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "12bsdbh37xiz42hvrp8ghszyqkiali3pk50x44f3aip12pgx6kix"; + sha256 = "3978da4641b3396d4a00c7ddd53d87ece7e7d45b5bc41a157639bdd2f2c5b9b3"; }; postInstall = if isPy3k then "" else '' diff --git a/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix b/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix index 37c7e46cbf3..ad8a2a0fbe2 100644 --- a/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-policyinsights"; - version = "0.4.0"; + version = "0.5.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "1b69rz9wm0jvc54vx3b7h633x8gags51xwxrkp6myar40jggxw6g"; + sha256 = "ed229e3845c477e88dde413825d4fba0d38b3a5ffab4e694c7d0da995f3db0f3"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix b/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix index 6a7b6df2418..858bde76440 100644 --- a/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-rdbms"; - version = "2.0.0"; + version = "2.1.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "19z0lpq6bpidlflwa263y51549xgcg4m040k872m7fmy7jm2xcbb"; + sha256 = "7826601507878e77f5d5cd805c6ad1d6483c375b545d5e9e1694b5a6c5e12fe3"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix b/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix index 990f494eced..ece93025b57 100644 --- a/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix @@ -9,12 +9,12 @@ buildPythonPackage rec { pname = "azure-mgmt-recoveryservicesbackup"; - version = "0.6.0"; + version = "0.7.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "13s2k4jl8570bj6jkqzm0w29z29rl7h5i7czd3kr6vqar5wj9xjd"; + sha256 = "6355d9234d87422d57bf2ea8d1cc242463c203e4bb79b8930f22f29f4e025fa1"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-reservations/default.nix b/pkgs/development/python-modules/azure-mgmt-reservations/default.nix index 5d13acb6ec8..3873f08e3ce 100644 --- a/pkgs/development/python-modules/azure-mgmt-reservations/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-reservations/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-reservations"; - version = "0.6.0"; + version = "0.7.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "16ycni3cjl9c0mv419gy5rgbrlg8zp0vnr6aj8z8p2ypdw6sgac3"; + sha256 = "f65c1985a47e0ac55e7d5d9cc1bd5e95335a111566edc289d460aa2bc8f80991"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-sql/default.nix b/pkgs/development/python-modules/azure-mgmt-sql/default.nix index a81f336df06..1b6649a36f2 100644 --- a/pkgs/development/python-modules/azure-mgmt-sql/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-sql/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-sql"; - version = "0.17.0"; + version = "0.18.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "1kp1wzcydgyc2mzkxigfv6rqzwzf3d0cnbqc6w7h907qbb4lw2r0"; + sha256 = "99ca085eb523a5c27933060ccb04d7a6b60864f98d87bf5b63ac17d419b43445"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-mgmt-storage/default.nix b/pkgs/development/python-modules/azure-mgmt-storage/default.nix index 0b2442b087d..48af270a9d7 100644 --- a/pkgs/development/python-modules/azure-mgmt-storage/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-storage/default.nix @@ -7,13 +7,13 @@ }: buildPythonPackage rec { - version = "7.2.0"; + version = "8.0.0"; pname = "azure-mgmt-storage"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "01ck1ankgr9ikvfghhdcs777yrl2j2p8cw9q8nfdrjp22lpchabl"; + sha256 = "636778912823cebed1c212e4feacc4885d9e49e19a047da20fca9393bc6fac33"; }; postInstall = if isPy3k then "" else '' diff --git a/pkgs/development/python-modules/azure-mgmt-web/default.nix b/pkgs/development/python-modules/azure-mgmt-web/default.nix index ea6c1502123..3b25c93a1bd 100644 --- a/pkgs/development/python-modules/azure-mgmt-web/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-web/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-web"; - version = "0.44.0"; + version = "0.45.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "05dqakhfi301k2jnvccxdkigqvwnf9xz858pqg9vsri3dq69f1rw"; + sha256 = "04wdb7vksjhcvv0gkjjr37lmb5ads5pr00cjac8r3szimr64zspr"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/azure-storage-file-share/default.nix b/pkgs/development/python-modules/azure-storage-file-share/default.nix index 78950d2971e..a4f8e161ba3 100644 --- a/pkgs/development/python-modules/azure-storage-file-share/default.nix +++ b/pkgs/development/python-modules/azure-storage-file-share/default.nix @@ -12,13 +12,13 @@ buildPythonPackage rec { pname = "azure-storage-file-share"; - version = "12.0.0"; + version = "12.1.1"; disabled = !isPy3k; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "15f5vk3vd2amggqqznx186raak9wgr57j0l1p9qa62kcl10bs9lg"; + sha256 = "661ed9669b9fbb3163899294d28f11f7c135336e1513aab6bd1ff9ef3c6febb3"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/bacpypes/default.nix b/pkgs/development/python-modules/bacpypes/default.nix new file mode 100644 index 00000000000..141dbf241f2 --- /dev/null +++ b/pkgs/development/python-modules/bacpypes/default.nix @@ -0,0 +1,31 @@ +{ stdenv, buildPythonPackage, fetchPypi, fetchFromGitHub +, wheel, pytestCheckHook, pytestrunner }: + +buildPythonPackage rec { + version = "0.18.0"; + pname = "bacpypes"; + + src = fetchFromGitHub { + owner = "JoelBender"; + repo = "bacpypes"; + rev = "${version}"; + sha256 = "1nz0qi46z6n455mw2ppxgz091qh0irizlxpvkx7iw1l7f6mmgj0x"; + }; + + propagatedBuildInputs = [ wheel ]; + + # Using pytes instead of setuptools check hook allows disabling specific tests + checkInputs = [ pytestCheckHook pytestrunner ]; + dontUseSetuptoolsCheck = true; + disabledTests = [ + # Test fails with a an error: AssertionError: assert 30 == 31 + "test_recurring_task_5" + ]; + + meta = with stdenv.lib; { + homepage = "https://github.com/JoelBender/bacpypes"; + description = "BACpypes provides a BACnet application layer and network layer written in Python for daemons, scripting, and graphical interfaces."; + license = licenses.mit; + maintainers = with maintainers; [ bachp ]; + }; +} diff --git a/pkgs/development/python-modules/buildout-nix/default.nix b/pkgs/development/python-modules/buildout-nix/default.nix index c33006377df..cf8b1382301 100644 --- a/pkgs/development/python-modules/buildout-nix/default.nix +++ b/pkgs/development/python-modules/buildout-nix/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "zc.buildout"; - version = "2.13.2"; + version = "2.13.3"; src = fetchPypi { inherit pname version; - sha256 = "5dd4de86dda684c46ef8ee9cc84e335ca7f6275d4363a684de82225270d1e328"; + sha256 = "1dyc5g3yv7wm3hf3fcsh6y1wivzjj1bspafr5qqb653z9a31lsfn"; }; patches = [ ./nix.patch ]; @@ -14,7 +14,7 @@ buildPythonPackage rec { postInstall = "mv $out/bin/buildout{,-nix}"; meta = { - homepage = http://www.buildout.org; + homepage = "http://www.buildout.org"; description = "A software build and configuration system"; license = stdenv.lib.licenses.zpl21; maintainers = [ stdenv.lib.maintainers.goibhniu ]; diff --git a/pkgs/development/python-modules/carbon/default.nix b/pkgs/development/python-modules/carbon/default.nix index c471240c87a..427108a9391 100644 --- a/pkgs/development/python-modules/carbon/default.nix +++ b/pkgs/development/python-modules/carbon/default.nix @@ -6,17 +6,19 @@ buildPythonPackage rec { pname = "carbon"; version = "1.1.6"; - disabled = isPy3k; - src = fetchPypi { inherit pname version; sha256 = "9ecda1469e497e3fed346b23ac94fd576e1bd9962677ab88975f4f598186e851"; }; + # Carbon-s default installation is /opt/graphite. This env variable ensures + # carbon is installed as a regular python module. + GRAPHITE_NO_PREFIX="True"; + propagatedBuildInputs = [ twisted whisper txamqp cachetools urllib3 ]; meta = with stdenv.lib; { - homepage = http://graphite.wikidot.com/; + homepage = "http://graphiteapp.org/"; description = "Backend data caching and persistence daemon for Graphite"; maintainers = with maintainers; [ offline basvandijk ]; license = licenses.asl20; diff --git a/pkgs/development/python-modules/cchardet/default.nix b/pkgs/development/python-modules/cchardet/default.nix index 1fb506682ba..5256d605049 100644 --- a/pkgs/development/python-modules/cchardet/default.nix +++ b/pkgs/development/python-modules/cchardet/default.nix @@ -7,11 +7,11 @@ buildPythonPackage rec { pname = "cchardet"; - version = "2.1.5"; + version = "2.1.6"; src = fetchPypi { inherit pname version; - sha256 = "240efe3f255f916769458343840b9c6403cf3192720bc5129792cbcb88bf72fb"; + sha256 = "1cs6y59qhbal8fgbyjk2lpjykh8kfjhq16clfssylsddb4hgnsmp"; }; checkInputs = [ nose ]; @@ -21,7 +21,7 @@ buildPythonPackage rec { meta = { description = "High-speed universal character encoding detector"; - homepage = https://github.com/PyYoshi/cChardet; + homepage = "https://github.com/PyYoshi/cChardet"; license = lib.licenses.mpl11; maintainers = with lib.maintainers; [ ivan ]; }; diff --git a/pkgs/development/python-modules/django/1_8.nix b/pkgs/development/python-modules/django/1_8.nix deleted file mode 100644 index d575599cbe1..00000000000 --- a/pkgs/development/python-modules/django/1_8.nix +++ /dev/null @@ -1,32 +0,0 @@ -{ stdenv -, buildPythonPackage -, fetchurl -}: - -buildPythonPackage rec { - pname = "Django"; - version = "1.8.19"; - - src = fetchurl { - url = "http://www.djangoproject.com/m/releases/1.8/${pname}-${version}.tar.gz"; - sha256 = "0iy0ni9j1rnx9b06ycgbg2dkrf3qid3y2jipk9x28cykz5f4mm1k"; - }; - - # too complicated to setup - doCheck = false; - - meta = with stdenv.lib; { - description = "A high-level Python Web framework"; - homepage = https://www.djangoproject.com/; - license = licenses.bsd0; - knownVulnerabilities = [ - # The patches were not backported due to Django 1.8 having reached EOL - https://www.djangoproject.com/weblog/2018/aug/01/security-releases/ - https://www.djangoproject.com/weblog/2019/jan/04/security-releases/ - https://www.djangoproject.com/weblog/2019/feb/11/security-releases/ - https://www.djangoproject.com/weblog/2019/jun/03/security-releases/ - https://www.djangoproject.com/weblog/2019/jul/01/security-releases/ - ]; - }; - -} diff --git a/pkgs/development/python-modules/dropbox/default.nix b/pkgs/development/python-modules/dropbox/default.nix index ade485c91dc..3ca9d59e27f 100644 --- a/pkgs/development/python-modules/dropbox/default.nix +++ b/pkgs/development/python-modules/dropbox/default.nix @@ -3,11 +3,11 @@ buildPythonPackage rec { pname = "dropbox"; - version = "9.4.0"; + version = "9.5.0"; src = fetchPypi { inherit pname version; - sha256 = "0qid094qna6bl4zpd08f6snvipwjls1yadacvmwri11djgp0wvj3"; + sha256 = "0iz9hg1j7q9chka6fyzgpzqg2v4nbjx61xfvn9ixprxrdhvhr2hi"; }; # Set DROPBOX_TOKEN environment variable to a valid token. @@ -18,7 +18,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "A Python library for Dropbox's HTTP-based Core and Datastore APIs"; - homepage = https://www.dropbox.com/developers/core/docs; + homepage = "https://www.dropbox.com/developers/core/docs"; license = licenses.mit; }; } diff --git a/pkgs/development/python-modules/fiona/default.nix b/pkgs/development/python-modules/fiona/default.nix index abc0853b4c7..cd4ed57d792 100644 --- a/pkgs/development/python-modules/fiona/default.nix +++ b/pkgs/development/python-modules/fiona/default.nix @@ -6,11 +6,11 @@ buildPythonPackage rec { pname = "Fiona"; - version = "1.8.13"; + version = "1.8.13.post1"; src = fetchPypi { inherit pname version; - sha256 = "5ec34898c8b983a723fb4e949dd3e0ed7e691c303e51f6bfd61e52ac9ac813ae"; + sha256 = "00366f2j21b5r4r8310sadf7jjhdr44s0381dhjqkw2nzpwjnhqs"; }; CXXFLAGS = lib.optionalString stdenv.cc.isClang "-std=c++11"; diff --git a/pkgs/development/python-modules/flake8-future-import/default.nix b/pkgs/development/python-modules/flake8-future-import/default.nix index c9cf3fe4ca8..0a60761b943 100644 --- a/pkgs/development/python-modules/flake8-future-import/default.nix +++ b/pkgs/development/python-modules/flake8-future-import/default.nix @@ -1,30 +1,27 @@ -{ lib, fetchFromGitHub, buildPythonPackage, fetchpatch, flake8, six }: +{ lib, isPy27, fetchFromGitHub, buildPythonPackage, fetchpatch, flake8, six }: buildPythonPackage rec { pname = "flake8-future-import"; - version = "0.4.5"; + version = "0.4.6"; # PyPI tarball doesn't include the test suite src = fetchFromGitHub { owner = "xZise"; repo = "flake8-future-import"; rev = version; - sha256 = "00fpxa6g8cabybnciwnpsbg60zhgydc966jgwyyggw1pcg0frdqr"; + sha256 = "00q8n15xdnvqj454arn7xxksyrzh0dw996kjyy7g9rdk0rf8x82z"; }; - patches = [ - # Add Python 3.7 support. Remove with the next release - (fetchpatch { - url = https://github.com/xZise/flake8-future-import/commit/cace194a44d3b95c9c1ed96640bae49183acca04.patch; - sha256 = "17pkqnh035j5s5c53afs8bk49bq7lnmdwqp5k7izx7sw80z73p9r"; - }) - ]; - propagatedBuildInputs = [ flake8 six ]; - meta = { - homepage = https://github.com/xZise/flake8-future-import; + # Upstream disables this test case naturally on python 3, but it also fails + # inside NixPkgs for python 2. Since it's going to be deleted, we just skip it + # on py2 as well. + patches = lib.optionals isPy27 [ ./skip-test.patch ]; + + meta = with lib; { description = "A flake8 extension to check for the imported __future__ modules to make it easier to have a consistent code base"; - license = lib.licenses.mit; + homepage = "https://github.com/xZise/flake8-future-import"; + license = licenses.mit; }; } diff --git a/pkgs/development/python-modules/flake8-future-import/skip-test.patch b/pkgs/development/python-modules/flake8-future-import/skip-test.patch new file mode 100644 index 00000000000..300358f9158 --- /dev/null +++ b/pkgs/development/python-modules/flake8-future-import/skip-test.patch @@ -0,0 +1,13 @@ +diff --git a/test_flake8_future_import.py b/test_flake8_future_import.py +index 84fde59..345f23f 100644 +--- a/test_flake8_future_import.py ++++ b/test_flake8_future_import.py +@@ -230,7 +230,7 @@ class TestBadSyntax(TestCaseBase): + """Test using various bad syntax examples from Python's library.""" + + +-@unittest.skipIf(sys.version_info[:2] >= (3, 7), 'flake8 supports up to 3.6') ++@unittest.skip("Has issue with installed path for flake8 in python2") + class Flake8TestCase(TestCaseBase): + + """ diff --git a/pkgs/development/python-modules/flask-appbuilder/default.nix b/pkgs/development/python-modules/flask-appbuilder/default.nix index 46841f68d60..01bd180a5ae 100644 --- a/pkgs/development/python-modules/flask-appbuilder/default.nix +++ b/pkgs/development/python-modules/flask-appbuilder/default.nix @@ -25,12 +25,12 @@ buildPythonPackage rec { pname = "flask-appbuilder"; - version = "2.1.6"; + version = "2.3.0"; src = fetchPypi { pname = "Flask-AppBuilder"; inherit version; - sha256 = "a37d7d6a62407a2e0975af5305c795f2fb5c06ecc34e3cf64659d083b1b2dd5f"; + sha256 = "04bsswi7daaqda01a83rd1f2gq6asii520f9arjf7bsy24pmbprc"; }; checkInputs = [ @@ -60,12 +60,13 @@ buildPythonPackage rec { ]; postPatch = '' - substituteInPlace setup.py \ - --replace "jsonschema>=3.0.1<4" "jsonschema" \ - --replace "marshmallow>=2.18.0,<2.20" "marshmallow" \ - --replace "PyJWT>=1.7.1" "PyJWT" \ - --replace "Flask-SQLAlchemy>=2.4,<3" "Flask-SQLAlchemy" \ - --replace "Flask-JWT-Extended>=3.18,<4" "Flask-JWT-Extended" + substituteInPlace setup.py \ + --replace "apispec[yaml]>=1.1.1, <2" "apispec" \ + --replace "jsonschema>=3.0.1, <4" "jsonschema" \ + --replace "marshmallow>=2.18.0, <4.0.0" "marshmallow" \ + --replace "PyJWT>=1.7.1" "PyJWT" \ + --replace "Flask-SQLAlchemy>=2.4, <3" "Flask-SQLAlchemy" \ + --replace "Flask-JWT-Extended>=3.18, <4" "Flask-JWT-Extended" ''; # majority of tests require network access or mongo @@ -73,8 +74,8 @@ buildPythonPackage rec { meta = with lib; { description = "Simple and rapid application development framework, built on top of Flask"; - homepage = https://github.com/dpgaspar/flask-appbuilder/; + homepage = "https://github.com/dpgaspar/flask-appbuilder/"; license = licenses.bsd3; - maintainers = [ maintainers.costrouc ]; + maintainers = with maintainers; [ costrouc ]; }; } diff --git a/pkgs/development/python-modules/flask-babel/default.nix b/pkgs/development/python-modules/flask-babel/default.nix index caaf0a61152..307b670fa7f 100644 --- a/pkgs/development/python-modules/flask-babel/default.nix +++ b/pkgs/development/python-modules/flask-babel/default.nix @@ -11,11 +11,11 @@ buildPythonPackage rec { pname = "Flask-Babel"; - version = "0.12.2"; + version = "1.0.0"; src = fetchPypi { inherit pname version; - sha256 = "11jwp8vvq1gnm31qh6ihy2h393hy18yn9yjp569g60r0wj1x2sii"; + sha256 = "0gmb165vkwv5v7dxsxa2i3zhafns0fh938m2zdcrv4d8z5l099yn"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/geoalchemy2/default.nix b/pkgs/development/python-modules/geoalchemy2/default.nix index 250942a8488..02d00461e26 100644 --- a/pkgs/development/python-modules/geoalchemy2/default.nix +++ b/pkgs/development/python-modules/geoalchemy2/default.nix @@ -7,11 +7,11 @@ buildPythonPackage rec { pname = "GeoAlchemy2"; - version = "0.6.3"; + version = "0.7.0"; src = fetchPypi { inherit pname version; - sha256 = "1viq85fsb119w4lmxn2iacxf2w35b8cgzamlrb685z50pp1cdi2d"; + sha256 = "0lnmj9jky9pz227scmjxgvd8243higl24ndc0cc668mm36cnwapc"; }; propagatedBuildInputs = [ sqlalchemy shapely ]; diff --git a/pkgs/development/python-modules/graphite-web/default.nix b/pkgs/development/python-modules/graphite-web/default.nix index 88e3118d456..9499a9a6fe2 100644 --- a/pkgs/development/python-modules/graphite-web/default.nix +++ b/pkgs/development/python-modules/graphite-web/default.nix @@ -1,52 +1,35 @@ -{ stdenv, buildPythonPackage, fetchPypi, isPy3k, which +{ stdenv, buildPythonPackage, fetchPypi, isPy3k , django, django_tagging, whisper, pycairo, cairocffi, ldap, memcached, pytz, urllib3, scandir }: -if django.version != "1.8.19" -|| django_tagging.version != "0.4.3" -then throw "graphite-web should be build with django_1_8 and django_tagging_0_4_3" -else buildPythonPackage rec { +buildPythonPackage rec { pname = "graphite-web"; version = "1.1.6"; - disabled = isPy3k; - src = fetchPypi { inherit pname version; sha256 = "f4c293008ad588456397cd125cdad7f47f4bab5b6dd82b5fb69f5467e7346a2a"; }; + patches = [ + ./update-django-tagging.patch + ]; + propagatedBuildInputs = [ django django_tagging whisper pycairo cairocffi ldap memcached pytz urllib3 scandir ]; - postInstall = '' - wrapProgram $out/bin/run-graphite-devel-server.py \ - --prefix PATH : ${which}/bin - ''; + # Carbon-s default installation is /opt/graphite. This env variable ensures + # carbon is installed as a regular python module. + GRAPHITE_NO_PREFIX="True"; preConfigure = '' - # graphite is configured by storing a local_settings.py file inside the - # graphite python package. Since that package is stored in the immutable - # Nix store we can't modify it. So how do we configure graphite? - # - # First of all we rename "graphite.local_settings" to - # "graphite_local_settings" so that the settings are not looked up in the - # graphite package anymore. Secondly we place a directory containing a - # graphite_local_settings.py on the PYTHONPATH in the graphite module - # <nixpkgs/nixos/modules/services/monitoring/graphite.nix>. - substituteInPlace webapp/graphite/settings.py \ - --replace "graphite.local_settings" " graphite_local_settings" - substituteInPlace webapp/graphite/settings.py \ --replace "join(WEBAPP_DIR, 'content')" "join('$out', 'webapp', 'content')" ''; - # error: invalid command 'test' - doCheck = false; - meta = with stdenv.lib; { - homepage = http://graphite.wikidot.com/; + homepage = "http://graphiteapp.org/"; description = "Enterprise scalable realtime graphing"; maintainers = with maintainers; [ offline basvandijk ]; license = licenses.asl20; diff --git a/pkgs/development/python-modules/graphite-web/update-django-tagging.patch b/pkgs/development/python-modules/graphite-web/update-django-tagging.patch new file mode 100644 index 00000000000..48d16d50f42 --- /dev/null +++ b/pkgs/development/python-modules/graphite-web/update-django-tagging.patch @@ -0,0 +1,12 @@ +diff -Nur a/setup.py b/setup.py +--- a/setup.py 2020-03-12 18:45:34.654296302 +0100 ++++ b/setup.py 2020-03-12 18:46:17.476893828 +0100 +@@ -115,7 +115,7 @@ + ['templates/*', 'local_settings.py.example']}, + scripts=glob('bin/*'), + data_files=list(webapp_content.items()) + storage_dirs + conf_files + examples, +- install_requires=['Django>=1.8,<2.3', 'django-tagging==0.4.3', 'pytz', 'pyparsing', 'cairocffi', 'urllib3', 'scandir', 'six'], ++ install_requires=['Django>=1.8,<2.3', 'django-tagging==0.4.6', 'pytz', 'pyparsing', 'cairocffi', 'urllib3', 'scandir', 'six'], + classifiers=[ + 'Intended Audience :: Developers', + 'Natural Language :: English', diff --git a/pkgs/development/python-modules/graphitepager/default.nix b/pkgs/development/python-modules/graphitepager/default.nix deleted file mode 100644 index d2ab8d547fd..00000000000 --- a/pkgs/development/python-modules/graphitepager/default.nix +++ /dev/null @@ -1,32 +0,0 @@ -{ stdenv, buildPythonPackage, fetchPypi -, jinja2, markupsafe, pagerduty, pushbullet, python_magic, python-simple-hipchat -, pyyaml, redis, requests, six, websocket_client, nose -}: -buildPythonPackage rec { - pname = "graphitepager"; - version = "0.2.11"; - - src = fetchPypi { - inherit pname version; - sha256 = "0v3g1qcgnkpgjzh6phnv13lnk8qjrcs9sq2qg6k0dk5ik31jfk3d"; - }; - - propagatedBuildInputs = [ - jinja2 markupsafe pagerduty pushbullet python_magic python-simple-hipchat - pyyaml redis requests six websocket_client - ]; - - postPatch = '' - substituteInPlace requirements.txt --replace "==" ">=" - ''; - - checkInputs = [ nose ]; - checkPhase = "nosetests"; - - meta = with stdenv.lib; { - description = "A simple alerting application for Graphite metrics"; - homepage = https://github.com/seatgeek/graphite-pager; - maintainers = with maintainers; [ offline basvandijk ]; - license = licenses.bsd2; - }; -} diff --git a/pkgs/development/python-modules/icalendar/default.nix b/pkgs/development/python-modules/icalendar/default.nix index 1d445f83b08..1418c925ebf 100644 --- a/pkgs/development/python-modules/icalendar/default.nix +++ b/pkgs/development/python-modules/icalendar/default.nix @@ -7,12 +7,12 @@ }: buildPythonPackage rec { - version = "4.0.4"; + version = "4.0.5"; pname = "icalendar"; src = fetchPypi { inherit pname version; - sha256 = "16gjvqv0n05jrb9g228pdjgzd3amz2pdhvcgsn1jypszjg5m2w9l"; + sha256 = "14ynjj65kfmlcvpb7k097w789wvxncd3cr3xz5m1jz9yl9v6vv5q"; }; buildInputs = [ setuptools ]; diff --git a/pkgs/development/python-modules/mkl-service/default.nix b/pkgs/development/python-modules/mkl-service/default.nix index ad3f30a66da..c8531299620 100644 --- a/pkgs/development/python-modules/mkl-service/default.nix +++ b/pkgs/development/python-modules/mkl-service/default.nix @@ -2,13 +2,13 @@ buildPythonPackage rec { pname = "mkl-service"; - version = "2.1.0"; + version = "2.3.0"; src = fetchFromGitHub { owner = "IntelPython"; repo = "mkl-service"; rev = "v${version}"; - sha256 = "1bnpgx629rxqf0yhn0jn68ypj3dqv6njc3981j1g8j8rsm5lycrn"; + sha256 = "1b4dkkl439rfaa86ywzc2zf9ifawhvdlaiqcg0il83cn5bzs7g5z"; }; MKLROOT = mkl; diff --git a/pkgs/development/python-modules/opuslib/default.nix b/pkgs/development/python-modules/opuslib/default.nix new file mode 100644 index 00000000000..aa7fc4add49 --- /dev/null +++ b/pkgs/development/python-modules/opuslib/default.nix @@ -0,0 +1,39 @@ +{ buildPythonPackage, + fetchFromGitHub, + isPy27, + libopus, + nose, + stdenv, + substituteAll, +}: + +buildPythonPackage rec { + pname = "opuslib"; + version = "3.0.3"; + + disabled = isPy27; + + src = fetchFromGitHub { + owner = "orion-labs"; + repo = "opuslib"; + rev = "92109c528f9f6c550df5e5325ca0fcd4f86b0909"; + sha256 = "0kd37wimwd1g6c0w5hq2hiiljgbi1zg3rk5prval086khkzq469p"; + }; + + patches = [ + (substituteAll { + src = ./opuslib-paths.patch; + opusLibPath = "${libopus}/lib/libopus${stdenv.hostPlatform.extensions.sharedLibrary}"; + }) + ]; + + checkInputs = [ nose ]; + + meta = with stdenv.lib; { + description = "Python bindings to the libopus, IETF low-delay audio codec"; + homepage = "https://github.com/orion-labs/opuslib"; + license = licenses.bsd3; + platforms = platforms.all; + maintainers = with maintainers; [ thelegy ]; + }; +} diff --git a/pkgs/development/python-modules/opuslib/opuslib-paths.patch b/pkgs/development/python-modules/opuslib/opuslib-paths.patch new file mode 100644 index 00000000000..fd2cfc8dbde --- /dev/null +++ b/pkgs/development/python-modules/opuslib/opuslib-paths.patch @@ -0,0 +1,26 @@ +diff --git a/opuslib/api/__init__.py b/opuslib/api/__init__.py +index 323a2a4..4c8a8fe 100644 +--- a/opuslib/api/__init__.py ++++ b/opuslib/api/__init__.py +@@ -7,20 +7,12 @@ + + import ctypes # type: ignore + +-from ctypes.util import find_library # type: ignore +- + __author__ = 'Никита Кузнецов <self@svartalf.info>' + __copyright__ = 'Copyright (c) 2012, SvartalF' + __license__ = 'BSD 3-Clause License' + + +-lib_location = find_library('opus') +- +-if lib_location is None: +- raise Exception( +- 'Could not find Opus library. Make sure it is installed.') +- +-libopus = ctypes.CDLL(lib_location) ++libopus = ctypes.CDLL('@opusLibPath@') + + c_int_pointer = ctypes.POINTER(ctypes.c_int) + c_int16_pointer = ctypes.POINTER(ctypes.c_int16) diff --git a/pkgs/development/python-modules/pid/default.nix b/pkgs/development/python-modules/pid/default.nix index 20e9390861d..8e45c43954d 100644 --- a/pkgs/development/python-modules/pid/default.nix +++ b/pkgs/development/python-modules/pid/default.nix @@ -6,11 +6,11 @@ buildPythonPackage rec { pname = "pid"; - version = "2.2.5"; + version = "3.0.0"; src = fetchPypi { inherit pname version; - sha256 = "96eb7dba326b88f5164bc1afdc986c7793e0d32d7f62366256a3903c7b0614ef"; + sha256 = "0pdp8h1m4brxalcsmzzzmjj66vj98g6wigwmcdj5sf8p7insklgn"; }; buildInputs = [ nose ]; @@ -20,7 +20,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Pidfile featuring stale detection and file-locking"; - homepage = https://github.com/trbs/pid/; + homepage = "https://github.com/trbs/pid/"; license = licenses.asl20; }; diff --git a/pkgs/development/python-modules/pip-tools/default.nix b/pkgs/development/python-modules/pip-tools/default.nix index 0a8d679ae5f..20a42cddcf9 100644 --- a/pkgs/development/python-modules/pip-tools/default.nix +++ b/pkgs/development/python-modules/pip-tools/default.nix @@ -3,11 +3,11 @@ buildPythonPackage rec { pname = "pip-tools"; - version = "4.3.0"; + version = "4.5.1"; src = fetchPypi { inherit pname version; - sha256 = "0x36mp3a3f3wandfc0g8d53gg2jkc14nhisbryzspcl9f05sbvq6"; + sha256 = "166crncd9zrk9wgk9dss9968mx2c1dzj80sjnaqrcmw7a7j30gv9"; }; LC_ALL = "en_US.UTF-8"; @@ -27,6 +27,7 @@ buildPythonPackage rec { "test_generate_hashes_with_editable" "test_generate_hashes_with_url" "test_generate_hashes_without_interfering_with_each_other" + "test_get_file_hash_without_interfering_with_each_other" "test_get_hashes_local_repository_cache_miss" "test_realistic_complex_sub_dependencies" "test_stdin" diff --git a/pkgs/development/python-modules/protego/default.nix b/pkgs/development/python-modules/protego/default.nix new file mode 100644 index 00000000000..ad7e4aa4af6 --- /dev/null +++ b/pkgs/development/python-modules/protego/default.nix @@ -0,0 +1,30 @@ +{ lib +, buildPythonPackage +, fetchPypi +, six +, pytest +}: + +buildPythonPackage rec { + pname = "Protego"; + version = "0.1.16"; + + src = fetchPypi { + inherit pname version; + sha256 = "a682771bc7b51b2ff41466460896c1a5a653f9a1e71639ef365a72e66d8734b4"; + }; + propagatedBuildInputs = [ six ]; + + checkInputs = [ pytest ]; + + checkPhase = '' + pytest tests + ''; + + meta = with lib; { + description = "A pure-Python robots.txt parser with support for modern conventions"; + homepage = "https://github.com/scrapy/protego"; + license = licenses.bsd3; + maintainers = [ maintainers.marsam ]; + }; +} diff --git a/pkgs/development/python-modules/py-cpuinfo/default.nix b/pkgs/development/python-modules/py-cpuinfo/default.nix index c90f81ae28f..ae2f5ae9d6d 100644 --- a/pkgs/development/python-modules/py-cpuinfo/default.nix +++ b/pkgs/development/python-modules/py-cpuinfo/default.nix @@ -6,13 +6,13 @@ buildPythonPackage rec { pname = "py-cpuinfo"; - version = "4.0.0"; + version = "5.0.0"; src = fetchFromGitHub { owner = "workhorsy"; repo = pname; rev = "v${version}"; - sha256 = "1pp561lj80jnvr2038nrzhmks2akxsbdqxvfrqa6n340x81981lm"; + sha256 = "0lxl9n6djaz5h1zrb2jca4qwl41c2plxy8chr7yhcxnzg0srddqi"; }; checkInputs = [ @@ -27,7 +27,14 @@ buildPythonPackage rec { meta = { description = "Get CPU info with pure Python 2 & 3"; - homepage = https://github.com/workhorsy/py-cpuinfo; + longDescription = '' + Py-cpuinfo gets CPU info with pure Python and should work without any + extra programs or libraries, beyond what your OS provides. It does not + require any compilation (C/C++, assembly, etc.) to use and works with + Python 2 and 3. + ''; + inherit (src.meta) homepage; + changelog = "https://github.com/workhorsy/py-cpuinfo/blob/v${version}/ChangeLog"; license = lib.licenses.mit; maintainers = with lib.maintainers; [ costrouc ]; }; diff --git a/pkgs/development/python-modules/pyglet/default.nix b/pkgs/development/python-modules/pyglet/default.nix index e6be92c0efb..a37872d9094 100644 --- a/pkgs/development/python-modules/pyglet/default.nix +++ b/pkgs/development/python-modules/pyglet/default.nix @@ -11,6 +11,7 @@ , gdk-pixbuf , fontconfig , freetype +, ffmpeg-full }: buildPythonPackage rec { @@ -52,6 +53,8 @@ buildPythonPackage rec { path = '${fontconfig.lib}/lib/libfontconfig${ext}' elif name == 'freetype': path = '${freetype}/lib/libfreetype${ext}' + elif name[0:2] == 'av' or name[0:2] == 'sw': + path = '${ffmpeg-full}/lib/lib' + name + '${ext}' if path is not None: return ctypes.cdll.LoadLibrary(path) raise Exception("Could not load library {}".format(names)) diff --git a/pkgs/development/python-modules/pyhcl/default.nix b/pkgs/development/python-modules/pyhcl/default.nix index e409282980d..b40305c0dce 100644 --- a/pkgs/development/python-modules/pyhcl/default.nix +++ b/pkgs/development/python-modules/pyhcl/default.nix @@ -10,14 +10,14 @@ buildPythonPackage rec { pname = "pyhcl"; - version = "0.4.0"; + version = "0.4.1"; disabled = !isPy3k; src = fetchFromGitHub { owner = "virtuald"; repo = pname; rev = version; - sha256 = "09kwm3digbwn3kmbk76jswxgwfcfchik6cfa2xbhjanh4xs893hs"; + sha256 = "13nszg2plfvza3syki1rxnx3k3h90qq4wkgv86l1xpz31k3pf6k4"; }; # https://github.com/virtuald/pyhcl/blob/51a7524b68fe21e175e157b8af931016d7a357ad/setup.py#L64 diff --git a/pkgs/development/python-modules/pyhepmc/default.nix b/pkgs/development/python-modules/pyhepmc/default.nix deleted file mode 100644 index 26a91a313ee..00000000000 --- a/pkgs/development/python-modules/pyhepmc/default.nix +++ /dev/null @@ -1,59 +0,0 @@ -{ stdenv -, buildPythonPackage -, fetchPypi -, fetchFromBitbucket -, isPy3k -, pkgs -, python -}: - -buildPythonPackage rec { - pname = "pyhepmc"; - version = "1.0.1"; - disabled = isPy3k; - - src = fetchPypi { - inherit pname version; - sha256 = "1210fd7e20d4abc1d9166147a9f7645a2a58b655fe030ad54ab3ea0d0c6e0834"; - }; - - srcMissing = fetchFromBitbucket { - owner = "andybuckley"; - repo = "pyhepmc"; - rev = "pyhepmc-1.0.0"; - sha256 = "0vxad143pz45q94w5p0dycpk24insdsv1m5k867y56xy24bi0d4w"; - }; - - prePatch = '' - cp -r $srcMissing/hepmc . - chmod +w hepmc - ''; - - patches = [ - # merge PR https://bitbucket.org/andybuckley/pyhepmc/pull-requests/1/add-incoming-outgoing-generators-for/diff - ./pyhepmc_export_edges.patch - # add bindings to Flow class - ./pyhepmc_export_flow.patch - ]; - - # regenerate python wrapper - preConfigure = '' - swig -c++ -I${pkgs.hepmc}/include -python hepmc/hepmcwrap.i - ''; - - nativeBuildInputs = [ pkgs.swig ]; - buildInputs = [ pkgs.hepmc2 ]; - - HEPMCPATH = pkgs.hepmc2; - - checkPhase = '' - ${python.interpreter} test/test1.py - ''; - - meta = with stdenv.lib; { - description = "A simple wrapper on the main classes of the HepMC event simulation representation, making it possible to create, read and manipulate HepMC events from Python code"; - license = licenses.gpl2; - maintainers = with maintainers; [ veprbl ]; - }; - -} diff --git a/pkgs/development/python-modules/pyhepmc/pyhepmc_export_edges.patch b/pkgs/development/python-modules/pyhepmc/pyhepmc_export_edges.patch deleted file mode 100644 index 5c6e56566a3..00000000000 --- a/pkgs/development/python-modules/pyhepmc/pyhepmc_export_edges.patch +++ /dev/null @@ -1,204 +0,0 @@ -# HG changeset patch -# User Lukas Heinrich <lukas.heinrich@gmail.com> -# Date 1430606843 14400 -# Node ID 325f89b7b72922e9add9ca9dd0f7ca4a6c83bf00 -# Parent e4fd953257e0d38511f2177de7ffaef662358af2 -add incoming/outgoing generators for GenVertex - -diff --git a/hepmc/generators.i b/hepmc/generators.i -new file mode 100644 ---- /dev/null -+++ b/hepmc/generators.i -@@ -0,0 +1,171 @@ -+/*! -+ * \file generators.i -+ * \author Seth R. Johnson -+ * \brief Define generator/iterator for any type -+ -+Example: -+\code -+ SETUP_GENERATOR( std::vector<Cell>::const_iterator ) -+ ADD_GENERATOR( Mesh, cells, -+ std::vector<Cell>::const_iterator, Cell, beginCells, endCells) -+\endcode -+would be a method to add a \c cells generator method method to the Python class -+\c Mesh, when the C++ class \c Mesh has a \c std::vector<Cell> accessed through -+methods \c beginCells and \c endCells. -+ -+The macro \c ADD_GENERATOR_P would be if the underlying storage were \c -+std::vector<Cell*> instead. -+ -+Alternatively, for containers of regular objects that provide \c begin(), \c end(), and \c const_iterator, you can use the \c ADD_CONTAINER_ITERATOR macro: -+\code -+ADD_CONTAINER_ITERATOR( QuadratureSet ) -+\endcode -+ -+\section License -+ -+Copyright (c) 2010, Seth R. Johnson -+All rights reserved. -+ -+Redistribution and use in source and binary forms, with or without -+modification, are permitted provided that the following conditions are met: -+ * Redistributions of source code must retain the above copyright notice, this -+ list of conditions and the following disclaimer. -+ * Redistributions in binary form must reproduce the above copyright notice, -+ this list of conditions and the following disclaimer in the documentation -+ and/or other materials provided with the distribution. -+ * Neither the name of the this project nor the names of its contributors -+ may be used to endorse or promote products derived from this software -+ without specific prior written permission. -+ -+THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -+ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED -+WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE -+DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR -+ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -+(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -+LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON -+ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -+(INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -+SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -+ -+ This material is based upon work supported under a National Science -+ Foundation Graduate Research Fellowship. Any opinions, findings, conclusions -+ or recommendations expressed in this publication are those of the author -+ and do not necessarily reflect the views of the National Science -+ Foundation. -+*/ -+#ifndef tools_SWIG_generators_i -+#define tools_SWIG_generators_i -+/*----------------------------------------------------------------------------*/ -+ -+// Add a Python class to provide iterator objects -+%insert("python") %{ -+class GenericIterator: -+ def __init__(self, begin_iter_method, deref_method, incr_method): -+ self.it = begin_iter_method() -+ self.incr = incr_method -+ self.deref = deref_method -+ -+ def __iter__(self): -+ return self -+ -+ def next(self): -+ obj = self.deref( self.it ) -+ if obj is not None: -+ self.incr( self.it ) -+ return obj -+ else: -+ raise StopIteration -+%} -+ -+//============== GENERIC GENERATOR/ITERATOR WRAPPER SUPPORT ============ -+//! Thin wrapper for incrementing a certain type of iterator -+// only need to define once per iterator type, and we can use the same name -+// thanks to overloading (even though this may decrease efficiency) -+%define SETUP_GENERATOR( ITERATOR... ) -+%inline %{ -+void _iter_incr( ITERATOR* iter ) -+{ -+ ++(*iter); -+} -+%} -+%enddef -+ -+/*----------------------------------------------------------------------------*/ -+// Internal method for adding common parts of the generator -+%define PYTRT_BASE_ADD_GENERATOR( CLASS, PYMETHOD, ITERATOR, CBEGIN ) -+ %extend CLASS { -+%insert("python") %{ -+ def PYMETHOD(self): -+ "Returns an iterator for PYMETHOD." -+ return GenericIterator( -+ self._begin_ ## PYMETHOD, -+ self._deref_ ## PYMETHOD, -+ _iter_incr -+ ) -+%} -+// get the first element in the vector -+ITERATOR* _begin_ ## PYMETHOD() -+{ -+ return new ITERATOR( ($self)->CBEGIN() ); -+} -+ } -+%enddef -+/*----------------------------------------------------------------------------*/ -+// If the dereferenced iterator is an object -+%define ADD_GENERATOR( CLASS, PYMETHOD, ITERATOR, RVALUE, CBEGIN, CEND ) -+ -+// add the python and begin method -+PYTRT_BASE_ADD_GENERATOR( CLASS, PYMETHOD, ITERATOR, CBEGIN ) -+ -+ %extend CLASS { -+//! Dereference the iterator; return NULL if at the end -+const RVALUE* _deref_ ## PYMETHOD ## ( const ITERATOR* iter ) -+{ -+ // if at the end, return NULL -+ if (*iter == ($self)->CEND() ) { -+ return NULL; -+ } -+ // otherwise, return the POINTER to the dereferenced iterator -+ return &(**iter); -+} -+ } -+%enddef -+/*----------------------------------------------------------------------------*/ -+// If the dereferenced iterator is a pointer -+%define ADD_GENERATOR_P( CLASS, PYMETHOD, ITERATOR, RVALUE, CBEGIN, CEND ) -+ -+// add the python and begin method -+PYTRT_BASE_ADD_GENERATOR( CLASS, PYMETHOD, ITERATOR, CBEGIN ) -+ -+ %extend CLASS { -+//! Dereference the iterator; return NULL if at the end -+const RVALUE* _deref_ ## PYMETHOD ## ( const ITERATOR* iter ) -+{ -+ // if at the end, return NULL -+ if (*iter == ($self)->CEND() ) { -+ return NULL; -+ } -+ // otherwise, return the dereferenced iterator (a pointer) -+ return **iter; -+} -+ } -+%enddef -+/*----------------------------------------------------------------------------*/ -+//! For a regular container with "begin" and "end" and "size" -+%define ADD_CONTAINER_ITERATOR( CLASS ) -+ SETUP_GENERATOR( CLASS::const_iterator ); -+ ADD_GENERATOR( CLASS, __iter__, -+ CLASS ## ::const_iterator, CLASS ## ::value_type, -+ begin, end) -+ %extend CLASS { -+ %insert("python") %{ -+ def __len__(self): -+ return self.size() -+ %} -+ } -+%enddef -+ -+/*============================================================================*/ -+#endif -+ -diff --git a/hepmc/hepmcwrap.i b/hepmc/hepmcwrap.i ---- a/hepmc/hepmcwrap.i -+++ b/hepmc/hepmcwrap.i -@@ -1,5 +1,7 @@ - %module hepmcwrap - -+%include generators.i -+ - %{ - #include "HepMC/GenEvent.h" - #include "HepMC/GenVertex.h" -@@ -251,3 +253,9 @@ - return ss.str(); - } - } -+ -+SETUP_GENERATOR( std::vector< HepMC::GenParticle* >::const_iterator ) -+ADD_GENERATOR_P( HepMC::GenVertex, incoming, -+std::vector< HepMC::GenParticle* >::const_iterator, HepMC::GenParticle, particles_in_const_begin, particles_in_const_end) -+ADD_GENERATOR_P( HepMC::GenVertex, outgoing, -+std::vector< HepMC::GenParticle* >::const_iterator, HepMC::GenParticle, particles_out_const_begin, particles_out_const_end) diff --git a/pkgs/development/python-modules/pyhepmc/pyhepmc_export_flow.patch b/pkgs/development/python-modules/pyhepmc/pyhepmc_export_flow.patch deleted file mode 100644 index 85e26a1a160..00000000000 --- a/pkgs/development/python-modules/pyhepmc/pyhepmc_export_flow.patch +++ /dev/null @@ -1,20 +0,0 @@ -diff --git a/hepmc/hepmcwrap.i b/hepmc/hepmcwrap.i -index cf35c1b..b94fbe2 100644 ---- a/hepmc/hepmcwrap.i -+++ b/hepmc/hepmcwrap.i -@@ -1,6 +1,7 @@ - %module hepmcwrap - - %{ -+ #include "HepMC/Flow.h" - #include "HepMC/GenEvent.h" - #include "HepMC/GenVertex.h" - #include "HepMC/GenParticle.h" -@@ -93,6 +94,7 @@ namespace HepMC { - // headers before importing headers that use those classes. - // Result is that headers should probably be %included in an order - // which sees "contents before containers" -+%include "HepMC/Flow.h" - %include "HepMC/HepMCDefs.h" - %include "HepMC/SimpleVector.h" - // #ifdef HEPMC_HAS_ITERATOR_RANGES diff --git a/pkgs/development/python-modules/pylint-django/default.nix b/pkgs/development/python-modules/pylint-django/default.nix index ef47699053c..8530e6f8543 100644 --- a/pkgs/development/python-modules/pylint-django/default.nix +++ b/pkgs/development/python-modules/pylint-django/default.nix @@ -10,14 +10,14 @@ buildPythonPackage rec { pname = "pylint-django"; - version = "2.0.13"; + version = "2.0.14"; disabled = !isPy3k; src = fetchFromGitHub { owner = "PyCQA"; repo = pname; rev = "v${version}"; - sha256 = "16xfn8zs5khdfh5pdsv3wjjhywzc1qhx7mxi5kpbcvmd6an9qi7s"; + sha256 = "07fkwb4phfr71dpajnq6l64phjxvljx2nf8ibs12n9gwjdvm9i52"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/pymavlink/default.nix b/pkgs/development/python-modules/pymavlink/default.nix index b909886ddb5..d47ea812ca8 100644 --- a/pkgs/development/python-modules/pymavlink/default.nix +++ b/pkgs/development/python-modules/pymavlink/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "pymavlink"; - version = "2.4.3"; + version = "2.4.6"; src = fetchPypi { inherit pname version; - sha256 = "332d3d0291b4482641a5b3cd97e879817f50eb9c2b2ddcc30d51d619bad01b51"; + sha256 = "1c8bxbm18h4idfdxqgklcz4n5bgsyl9y14gl9314fpflwa2c7ds8"; }; propagatedBuildInputs = [ future lxml ]; diff --git a/pkgs/development/python-modules/pymumble/default.nix b/pkgs/development/python-modules/pymumble/default.nix new file mode 100644 index 00000000000..6e72309a58d --- /dev/null +++ b/pkgs/development/python-modules/pymumble/default.nix @@ -0,0 +1,31 @@ +{ buildPythonPackage, + fetchFromGitHub, + isPy27, + lib, + opuslib, + protobuf, +}: + +buildPythonPackage rec { + pname = "pymumble"; + version = "0.3.1"; + disabled = isPy27; + + src = fetchFromGitHub { + owner = "azlux"; + repo = "pymumble"; + rev = "1dd6d6d4df2fdef33202f17e2acf3ba9678a5737"; + sha256 = "1r1sch8xrpbzffsb72lhp5xjr3ac3xb599n44vsfmaam3xklz6vz"; + }; + + propagatedBuildInputs = [ opuslib protobuf ]; + + pythonImportsCheck = [ "pymumble_py3" ]; + + meta = with lib; { + description = "Python 3 version of pymumble, Mumble library used for multiple uses like making mumble bot."; + homepage = "https://github.com/azlux/pymumble"; + license = licenses.gpl3; + maintainers = with maintainers; [ thelegy ]; + }; +} diff --git a/pkgs/development/python-modules/pyproj/001.proj.patch b/pkgs/development/python-modules/pyproj/001.proj.patch index b024c28156c..52e0a80f739 100644 --- a/pkgs/development/python-modules/pyproj/001.proj.patch +++ b/pkgs/development/python-modules/pyproj/001.proj.patch @@ -1,47 +1,62 @@ -diff a/pyproj/datadir.py b/pyproj/datadir.py ---- a/pyproj/datadir.py -+++ b/pyproj/datadir.py -@@ -52,6 +52,7 @@ def get_data_dir(): - str: The valid data directory. - - """ -+ return "@proj@/share/proj" - # to avoid re-validating - global _VALIDATED_PROJ_DATA +diff -Nur a/pyproj/datadir.py b/pyproj/datadir.py +--- a/pyproj/datadir.py 2020-03-24 12:53:39.417440608 +0100 ++++ b/pyproj/datadir.py 2020-03-24 12:56:19.870089479 +0100 +@@ -66,9 +66,7 @@ if _VALIDATED_PROJ_DATA is not None: -diff a/setup.py b/setup.py ---- a/setup.py -+++ b/setup.py -@@ -16,7 +16,7 @@ INTERNAL_PROJ_DIR = os.path.join(CURRENT_FILE_PATH, "pyproj", BASE_INTERNAL_PROJ - + return _VALIDATED_PROJ_DATA + global _USER_PROJ_DATA +- internal_datadir = os.path.join( +- os.path.dirname(os.path.abspath(__file__)), "proj_dir", "share", "proj" +- ) ++ internal_datadir = "@proj@/share/proj" + proj_lib_dirs = os.environ.get("PROJ_LIB", "") + prefix_datadir = os.path.join(sys.prefix, "share", "proj") + +diff -Nur a/setup.py b/setup.py +--- a/setup.py 2020-03-24 12:53:39.415440624 +0100 ++++ b/setup.py 2020-03-24 12:52:05.311232522 +0100 +@@ -11,7 +11,7 @@ + PROJ_MIN_VERSION = parse_version("6.2.0") + CURRENT_FILE_PATH = os.path.dirname(os.path.abspath(__file__)) + BASE_INTERNAL_PROJ_DIR = "proj_dir" +-INTERNAL_PROJ_DIR = os.path.join(CURRENT_FILE_PATH, "pyproj", BASE_INTERNAL_PROJ_DIR) ++INTERNAL_PROJ_DIR = "@proj@" + + def check_proj_version(proj_dir): - """checks that the PROJ library meets the minimum version""" -- proj = os.path.join(proj_dir, "bin", "proj") -+ proj = "@proj@/bin/proj" - proj_ver_bytes = subprocess.check_output(proj, stderr=subprocess.STDOUT) - proj_ver_bytes = (proj_ver_bytes.decode("ascii").split()[1]).strip(",") - proj_version = parse_version(proj_ver_bytes) -@@ -33,6 +33,7 @@ def get_proj_dir(): - """ - This function finds the base PROJ directory. - """ -+ return "@proj@" - proj_dir = os.environ.get("PROJ_DIR") - if proj_dir is None and os.path.exists(INTERNAL_PROJ_DIR): - proj_dir = INTERNAL_PROJ_DIR -@@ -56,6 +57,7 @@ def get_proj_libdirs(proj_dir): - """ - This function finds the library directories - """ -+ return ["@proj@/lib"] - proj_libdir = os.environ.get("PROJ_LIBDIR") - libdirs = [] - if proj_libdir is None: -@@ -77,6 +79,7 @@ def get_proj_incdirs(proj_dir): - """ - This function finds the include directories - """ -+ return ["@proj@/include"] - proj_incdir = os.environ.get("PROJ_INCDIR") - incdirs = [] - if proj_incdir is None: +@@ -146,7 +146,7 @@ + # By default we'll try to get options PROJ_DIR or the local version of proj + proj_dir = get_proj_dir() + library_dirs = get_proj_libdirs(proj_dir) +- include_dirs = get_proj_incdirs(proj_dir) ++ include_dirs = get_proj_incdirs("@projdev@") + + # setup extension options + ext_options = { +diff -Nur a/test/conftest.py b/test/conftest.py +--- a/test/conftest.py 2020-03-24 12:53:39.417440608 +0100 ++++ b/test/conftest.py 2020-03-24 23:16:47.373972786 +0100 +@@ -1,6 +1,7 @@ + import os + import shutil + import tempfile ++import stat + + import pytest + +@@ -17,6 +18,15 @@ + with tempfile.TemporaryDirectory() as tmpdir: + tmp_data_dir = os.path.join(tmpdir, "proj") + shutil.copytree(data_dir, tmp_data_dir) ++ ++ # Data copied from the nix store is readonly (causes cleanup problem). ++ # Make it writable. ++ for r, d, files in os.walk(tmp_data_dir): ++ os.chmod(r, os.stat(r).st_mode | stat.S_IWUSR) ++ for f in files: ++ fpath = os.path.join(r, f) ++ os.chmod(fpath, os.stat(fpath).st_mode | stat.S_IWUSR) ++ + try: + os.remove(os.path.join(str(tmp_data_dir), "ntv2_0.gsb")) + except OSError: diff --git a/pkgs/development/python-modules/pyproj/default.nix b/pkgs/development/python-modules/pyproj/default.nix index 0f2763faf60..b23cb010f01 100644 --- a/pkgs/development/python-modules/pyproj/default.nix +++ b/pkgs/development/python-modules/pyproj/default.nix @@ -1,20 +1,22 @@ -{ lib, buildPythonPackage, fetchFromGitHub, python, pkgs, pythonOlder, substituteAll +{ lib, buildPythonPackage, fetchFromGitHub, python, pkgs, pythonOlder, isPy27, substituteAll , aenum , cython , pytest , mock , numpy +, shapely }: buildPythonPackage rec { pname = "pyproj"; - version = "2.2.2"; + version = "2.6.0"; + disabled = isPy27; src = fetchFromGitHub { owner = "pyproj4"; repo = "pyproj"; rev = "v${version}rel"; - sha256 = "0mb0jczgqh3sma69k7237i38h09gxgmvmddls9hpw4f3131f5ax7"; + sha256 = "0fyggkbr3kp8mlq4c0r8sl5ah58bdg2mj4kzql9p3qyrkcdlgixh"; }; # force pyproj to use ${pkgs.proj} @@ -22,13 +24,14 @@ buildPythonPackage rec { (substituteAll { src = ./001.proj.patch; proj = pkgs.proj; + projdev = pkgs.proj.dev; }) ]; buildInputs = [ cython pkgs.proj ]; propagatedBuildInputs = [ - numpy + numpy shapely ] ++ lib.optional (pythonOlder "3.6") aenum; checkInputs = [ pytest mock ]; @@ -38,6 +41,9 @@ buildPythonPackage rec { checkPhase = '' pytest . -k 'not alternative_grid_name \ and not transform_wgs84_to_alaska \ + and not transformer_group__unavailable \ + and not transform_group__missing_best \ + and not datum \ and not repr' \ --ignore=test/test_doctest_wrapper.py \ --ignore=test/test_datadir.py diff --git a/pkgs/development/python-modules/pyroute2/default.nix b/pkgs/development/python-modules/pyroute2/default.nix index e13472dafb4..76007b83588 100644 --- a/pkgs/development/python-modules/pyroute2/default.nix +++ b/pkgs/development/python-modules/pyroute2/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "pyroute2"; - version = "0.5.9"; + version = "0.5.10"; src = fetchPypi { inherit pname version; - sha256 = "1dymaa3rif05k42sh4c3g2m057v2dsc2f3f49hl2rw5yz8bd23i4"; + sha256 = "0akls1w67v17dmgr07n6rr5xy6yyj6p83ss05033gk1c3mfsbb1r"; }; # requires root priviledges @@ -14,7 +14,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Python Netlink library"; - homepage = https://github.com/svinota/pyroute2; + homepage = "https://github.com/svinota/pyroute2"; license = licenses.asl20; maintainers = [maintainers.mic92]; platforms = platforms.unix; diff --git a/pkgs/development/python-modules/pytest-html/default.nix b/pkgs/development/python-modules/pytest-html/default.nix new file mode 100644 index 00000000000..6847c5e05d4 --- /dev/null +++ b/pkgs/development/python-modules/pytest-html/default.nix @@ -0,0 +1,23 @@ +{ stdenv, buildPythonPackage, fetchPypi, pythonOlder +, pytest, pytest-metadata, setuptools_scm }: + +buildPythonPackage rec { + pname = "pytest-html"; + version = "2.1.0"; + disabled = pythonOlder "3.6"; + + src = fetchPypi { + inherit pname version; + sha256 = "14cy5iixi6i8i5r5xvvkhwk48zgxnb1ypbp0g1343mwfdihshic6"; + }; + + nativeBuildInputs = [ setuptools_scm ]; + propagatedBuildInputs = [ pytest pytest-metadata ]; + + meta = with stdenv.lib; { + description = "Plugin for generating HTML reports"; + homepage = "https://github.com/pytest-dev/pytest-html"; + license = licenses.mpl20; + maintainers = with maintainers; [ mpoquet ]; + }; +} diff --git a/pkgs/development/python-modules/pytest-metadata/default.nix b/pkgs/development/python-modules/pytest-metadata/default.nix new file mode 100644 index 00000000000..369ddf2c476 --- /dev/null +++ b/pkgs/development/python-modules/pytest-metadata/default.nix @@ -0,0 +1,22 @@ +{ stdenv, buildPythonPackage, fetchPypi +, pytest, setuptools_scm }: + +buildPythonPackage rec { + pname = "pytest-metadata"; + version = "1.8.0"; + + src = fetchPypi { + inherit pname version; + sha256 = "1fk6icip2x1nh4kzhbc8cnqrs77avpqvj7ny3xadfh6yhn9aaw90"; + }; + + nativeBuildInputs = [ setuptools_scm ]; + propagatedBuildInputs = [ pytest ]; + + meta = with stdenv.lib; { + description = "Plugin for accessing test session metadata"; + homepage = "https://github.com/pytest-dev/pytest-metadata"; + license = licenses.mpl20; + maintainers = with maintainers; [ mpoquet ]; + }; +} diff --git a/pkgs/development/python-modules/pytest-twisted/default.nix b/pkgs/development/python-modules/pytest-twisted/default.nix new file mode 100644 index 00000000000..6bdf1089d5d --- /dev/null +++ b/pkgs/development/python-modules/pytest-twisted/default.nix @@ -0,0 +1,27 @@ +{ lib +, buildPythonPackage +, fetchPypi +, greenlet +, pytest +, decorator +}: + +buildPythonPackage rec { + pname = "pytest-twisted"; + version = "1.12"; + + src = fetchPypi { + inherit pname version; + extension = "zip"; + sha256 = "bb9af117c5c6063d9ef20ffdf2fa297caaf57de5a687e4d3607db7b0a6f74fea"; + }; + + propagatedBuildInputs = [ greenlet pytest decorator ]; + + meta = with lib; { + description = "A twisted plugin for py.test"; + homepage = "https://github.com/pytest-dev/pytest-twisted"; + license = licenses.bsd3; + maintainers = [ maintainers.marsam ]; + }; +} diff --git a/pkgs/development/python-modules/python-language-server/default.nix b/pkgs/development/python-modules/python-language-server/default.nix index 74eadd9b2de..c8bb1b5fa6f 100644 --- a/pkgs/development/python-modules/python-language-server/default.nix +++ b/pkgs/development/python-modules/python-language-server/default.nix @@ -21,13 +21,13 @@ in buildPythonPackage rec { pname = "python-language-server"; - version = "0.31.8"; + version = "0.31.9"; src = fetchFromGitHub { owner = "palantir"; repo = "python-language-server"; rev = version; - sha256 = "sha256:1h0w7x7d9g3z7vmxn5w7qxdkjya3sl0xfnklfaaaj8dkb5mjldpi"; + sha256 = "06hd6a1hhd57hrq4vbwfs0saplkhsrz2krv8kq9kw4fz4hx7zj74"; }; # The tests require all the providers, disable otherwise. @@ -53,8 +53,7 @@ buildPythonPackage rec { "test_pandas_completions" "test_matplotlib_completions" "test_snippet_parsing" - - ]; + ] ++ stdenv.lib.optional isPy27 "test_flake8_lint"; # checkPhase = '' # HOME=$TEMPDIR pytest -k "not test_pyqt_completion and not # ''; diff --git a/pkgs/development/python-modules/python-stdnum/default.nix b/pkgs/development/python-modules/python-stdnum/default.nix index 556be12872b..39153f4a4da 100644 --- a/pkgs/development/python-modules/python-stdnum/default.nix +++ b/pkgs/development/python-modules/python-stdnum/default.nix @@ -1,11 +1,11 @@ { lib, fetchPypi, buildPythonPackage, nose }: buildPythonPackage rec { - version = "1.12"; + version = "1.13"; pname = "python-stdnum"; src = fetchPypi { inherit pname version; - sha256 = "19fb5asv0ngnbpiz1bqzq2jhgn845kv9hjcjajsgzgfp2k24f4sc"; + sha256 = "0q4128rjdgavywhzlm2gz2n5ybc9b9sxs81g50dvxf5q7z9q63qj"; }; checkInputs = [ nose ]; @@ -15,7 +15,7 @@ buildPythonPackage rec { ''; meta = { - homepage = https://arthurdejong.org/python-stdnum/; + homepage = "https://arthurdejong.org/python-stdnum/"; description = "Python module to handle standardized numbers and codes"; maintainers = with lib.maintainers; [ johbo ]; license = lib.licenses.lgpl2Plus; diff --git a/pkgs/development/python-modules/rope/default.nix b/pkgs/development/python-modules/rope/default.nix index 66340f91770..c14b4f36407 100644 --- a/pkgs/development/python-modules/rope/default.nix +++ b/pkgs/development/python-modules/rope/default.nix @@ -1,9 +1,11 @@ -{ stdenv, buildPythonPackage, fetchPypi, nose }: +{ stdenv, buildPythonPackage, fetchPypi, pythonAtLeast, nose }: buildPythonPackage rec { pname = "rope"; version = "0.14.0"; + disabled = pythonAtLeast "3.8"; # 0.17 should support Python 3.8 + src = fetchPypi { inherit pname version; sha256 = "1bwayj0hh459s3yh0sdrxksr9wfilgi3a49izfaj06kvgyladif5"; diff --git a/pkgs/development/python-modules/scrapy/default.nix b/pkgs/development/python-modules/scrapy/default.nix index 8fee4b6631a..05c0c43c15c 100644 --- a/pkgs/development/python-modules/scrapy/default.nix +++ b/pkgs/development/python-modules/scrapy/default.nix @@ -1,13 +1,60 @@ -{ stdenv, buildPythonPackage, fetchPypi, glibcLocales, mock, pytest, botocore, - testfixtures, pillow, six, twisted, w3lib, lxml, queuelib, pyopenssl, - service-identity, parsel, pydispatcher, cssselect, lib }: +{ stdenv +, buildPythonPackage +, isPy27 +, fetchPypi +, glibcLocales +, pytest +, testfixtures +, pillow +, twisted +, cryptography +, w3lib +, lxml +, queuelib +, pyopenssl +, service-identity +, parsel +, pydispatcher +, cssselect +, zope_interface +, protego +, lib +, jmespath +, sybil +, pytest-twisted +, botocore +}: + buildPythonPackage rec { - version = "1.8.0"; + version = "2.0.1"; pname = "Scrapy"; - checkInputs = [ glibcLocales mock pytest botocore testfixtures pillow ]; + disabled = isPy27; + + checkInputs = [ + glibcLocales + jmespath + pytest + sybil + testfixtures + pillow + pytest-twisted + botocore + ]; + propagatedBuildInputs = [ - six twisted w3lib lxml cssselect queuelib pyopenssl service-identity parsel pydispatcher + twisted + cryptography + cssselect + lxml + parsel + pydispatcher + pyopenssl + queuelib + service-identity + w3lib + zope_interface + protego ]; patches = [ @@ -18,20 +65,20 @@ buildPythonPackage rec { ./permissions-fix.patch ]; - LC_ALL="en_US.UTF-8"; + LC_ALL = "en_US.UTF-8"; # Disable doctest plugin—enabled in the shipped pytest.ini—because it causes pytest to hang # Ignore proxy tests because requires mitmproxy # Ignore test_retry_dns_error because tries to resolve an invalid dns and weirdly fails with "Reactor was unclean" # Ignore xml encoding test on darwin because lxml can't find encodings https://bugs.launchpad.net/lxml/+bug/707396 checkPhase = '' - substituteInPlace pytest.ini --replace "addopts = --doctest-modules" "addopts =" + substituteInPlace pytest.ini --replace "--doctest-modules" "" pytest --ignore=tests/test_linkextractors_deprecated.py --ignore=tests/test_proxy_connect.py --deselect tests/test_crawl.py::CrawlTestCase::test_retry_dns_error ${lib.optionalString stdenv.isDarwin "--deselect tests/test_utils_iterators.py::LxmlXmliterTestCase::test_xmliter_encoding"} ''; src = fetchPypi { inherit pname version; - sha256 = "fe06576f9a4971de9dc0175c60fd92561e8275f2bad585c1cb5d65c5181b2db0"; + sha256 = "85581a01f4160a103ca9906ffa4e44474f4ecd1685f0934728892c58ebf111f6"; }; postInstall = '' @@ -42,7 +89,7 @@ buildPythonPackage rec { meta = with lib; { description = "A fast high-level web crawling and web scraping framework, used to crawl websites and extract structured data from their pages"; - homepage = https://scrapy.org/; + homepage = "https://scrapy.org/"; license = licenses.bsd3; maintainers = with maintainers; [ drewkett marsam ]; platforms = platforms.unix; diff --git a/pkgs/development/python-modules/shodan/default.nix b/pkgs/development/python-modules/shodan/default.nix index 0c88dcd0fe2..4db1172e041 100644 --- a/pkgs/development/python-modules/shodan/default.nix +++ b/pkgs/development/python-modules/shodan/default.nix @@ -10,11 +10,11 @@ buildPythonPackage rec { pname = "shodan"; - version = "1.21.3"; + version = "1.22.0"; src = fetchPypi { inherit pname version; - sha256 = "1mbqdk3jdga4r08dg66j7kawmb40rs0y3nnwb9vh3c1safgqjmiz"; + sha256 = "0pgkd0pngmygafld283zfx7whlv6yp3ldgd4sjv8bxjcsb19iw59"; }; propagatedBuildInputs = [ @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "Python library and command-line utility for Shodan"; - homepage = https://github.com/achillean/shodan-python; + homepage = "https://github.com/achillean/shodan-python"; license = licenses.mit; maintainers = with maintainers; [ lihop ]; }; diff --git a/pkgs/development/python-modules/sphinxcontrib-openapi/default.nix b/pkgs/development/python-modules/sphinxcontrib-openapi/default.nix index 58b24f0dd73..a60a36413ac 100644 --- a/pkgs/development/python-modules/sphinxcontrib-openapi/default.nix +++ b/pkgs/development/python-modules/sphinxcontrib-openapi/default.nix @@ -9,11 +9,11 @@ buildPythonPackage rec { pname = "sphinxcontrib-openapi"; - version = "0.5.0"; + version = "0.6.0"; src = fetchPypi { inherit pname version; - sha256 = "2a5883456c0caba0dad90f07968c75a50d5fc425a3aa06d1c538472ddf8c7e22"; + sha256 = "02pkzcmxikcfvkvcfsclnhymzl1lc84jz6vmdaslbgh4j5vlp5ym"; }; propagatedBuildInputs = [setuptools_scm pyyaml jsonschema sphinxcontrib_httpdomain]; @@ -21,7 +21,7 @@ buildPythonPackage rec { doCheck = false; meta = with stdenv.lib; { - homepage = https://github.com/ikalnytskyi/sphinxcontrib-openapi; + homepage = "https://github.com/ikalnytskyi/sphinxcontrib-openapi"; description = "OpenAPI (fka Swagger) spec renderer for Sphinx"; license = licenses.bsd0; }; diff --git a/pkgs/development/python-modules/spyder-kernels/default.nix b/pkgs/development/python-modules/spyder-kernels/default.nix index f4d57ce579e..1f9455c8087 100644 --- a/pkgs/development/python-modules/spyder-kernels/default.nix +++ b/pkgs/development/python-modules/spyder-kernels/default.nix @@ -3,11 +3,11 @@ buildPythonPackage rec { pname = "spyder-kernels"; - version = "1.8.1"; + version = "1.9.0"; src = fetchPypi { inherit pname version; - sha256 = "a782fc5961a9dd48d520ddc1c868b960d54b8edb1116c21fc2e3c347fe5a4474"; + sha256 = "1sqjagabqccrc73a423smfjmiph7lfjzj26r6hn3j3vf3drm3rpj"; }; propagatedBuildInputs = [ diff --git a/pkgs/development/python-modules/spyder/default.nix b/pkgs/development/python-modules/spyder/default.nix index 65fabc6c7bc..283c9b33f34 100644 --- a/pkgs/development/python-modules/spyder/default.nix +++ b/pkgs/development/python-modules/spyder/default.nix @@ -1,17 +1,19 @@ -{ stdenv, buildPythonPackage, fetchPypi, makeDesktopItem, intervaltree, jedi, pycodestyle, +{ stdenv, buildPythonPackage, fetchPypi, isPy27, makeDesktopItem, intervaltree, jedi, pycodestyle, psutil, pyflakes, rope, numpy, scipy, matplotlib, pylint, keyring, numpydoc, qtconsole, qtawesome, nbconvert, mccabe, pyopengl, cloudpickle, pygments, spyder-kernels, qtpy, pyzmq, chardet, qdarkstyle, watchdog, python-language-server -, pyqtwebengine +, pyqtwebengine, atomicwrites, pyxdg, diff-match-patch }: buildPythonPackage rec { pname = "spyder"; - version = "4.0.1"; + version = "4.1.1"; + + disabled = isPy27; src = fetchPypi { inherit pname version; - sha256 = "4b279c16487d224368dd2213e1517185fa59fc528f539601fffb34ea97accb7b"; + sha256 = "13ajjifyf7w895vpl0h9r59m73zisby81xjw2c5pk49fh5l6ycs9"; }; nativeBuildInputs = [ pyqtwebengine.wrapQtAppsHook ]; @@ -20,6 +22,7 @@ buildPythonPackage rec { intervaltree jedi pycodestyle psutil pyflakes rope numpy scipy matplotlib pylint keyring numpydoc qtconsole qtawesome nbconvert mccabe pyopengl cloudpickle spyder-kernels pygments qtpy pyzmq chardet pyqtwebengine qdarkstyle watchdog python-language-server + atomicwrites pyxdg diff-match-patch ]; # There is no test for spyder @@ -42,8 +45,12 @@ buildPythonPackage rec { substituteInPlace setup.py --replace "pyqt5<5.13" "pyqt5" ''; - # Create desktop item postInstall = '' + # add Python libs to env so Spyder subprocesses + # created to run compute kernels don't fail with ImportErrors + wrapProgram $out/bin/spyder3 --prefix PYTHONPATH : "$PYTHONPATH" + + # Create desktop item mkdir -p $out/share/icons cp spyder/images/spyder.svg $out/share/icons cp -r $desktopItem/share/applications/ $out/share @@ -66,6 +73,5 @@ buildPythonPackage rec { license = licenses.mit; platforms = platforms.linux; maintainers = with maintainers; [ gebner ]; - broken = true; }; } diff --git a/pkgs/development/python-modules/sybil/default.nix b/pkgs/development/python-modules/sybil/default.nix index 8b1677a7b84..cf30b4546cd 100644 --- a/pkgs/development/python-modules/sybil/default.nix +++ b/pkgs/development/python-modules/sybil/default.nix @@ -1,31 +1,28 @@ -{ stdenv, buildPythonApplication, fetchPypi, fetchpatch -, pytest, nose }: +{ lib +, buildPythonApplication +, fetchPypi +, pytest +, nose +}: buildPythonApplication rec { - pname = "sybil"; - version = "1.0.9"; + pname = "sybil"; + version = "1.2.2"; src = fetchPypi { inherit pname version; - sha256 = "41d2f1dba8fd1d8ead5e9b1220b590fab8b0d1ca01d43da08555b1fb08d4d8e8"; + sha256 = "dd84e68facfcb778298ef50a4d7446d4d9092e9d8596012b12bcb82858fd10e1"; }; - patches = [ - (fetchpatch { - url = https://github.com/cjw296/sybil/commit/6461d8156cfb68bd073ec613a5a516916e97e549.patch; - sha256 = "0aqny0i7l6g6d7vr025b90zz8wzszqdbmi05mp67dxw5xqjqvxj2"; - }) - ]; - checkInputs = [ pytest nose ]; checkPhase = '' py.test tests ''; - meta = with stdenv.lib; { - description = "Automated testing for the examples in your documentation."; - homepage = https://github.com/cjw296/sybil/; - license = licenses.mit; + meta = with lib; { + description = "Automated testing for the examples in your documentation"; + homepage = "https://github.com/cjw296/sybil"; + license = licenses.mit; }; } diff --git a/pkgs/development/python-modules/thespian/default.nix b/pkgs/development/python-modules/thespian/default.nix index 1c59b0cae6b..add36ccf1b6 100644 --- a/pkgs/development/python-modules/thespian/default.nix +++ b/pkgs/development/python-modules/thespian/default.nix @@ -1,13 +1,13 @@ { fetchPypi, buildPythonPackage, lib }: buildPythonPackage rec { - version = "3.9.11"; + version = "3.10.0"; pname = "thespian"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "84887f0437ec144f7266ae22678bc5dc5d2a9e60a89f1f7c1707cbea5e03022a"; + sha256 = "0n85nhj5hr8kv33jk4by8hnxm3kni5f4z1jhiw27dlf6cbgsv892"; }; # Do not run the test suite: it takes a long time and uses @@ -18,7 +18,7 @@ buildPythonPackage rec { meta = with lib; { description = "Python Actor concurrency library"; - homepage = http://thespianpy.com/; + homepage = "http://thespianpy.com/"; license = licenses.mit; maintainers = [ maintainers.kquick ]; }; diff --git a/pkgs/development/python-modules/twisted/default.nix b/pkgs/development/python-modules/twisted/default.nix index 5c4fea3f9b1..2bea54818c2 100644 --- a/pkgs/development/python-modules/twisted/default.nix +++ b/pkgs/development/python-modules/twisted/default.nix @@ -16,12 +16,12 @@ }: buildPythonPackage rec { pname = "Twisted"; - version = "19.10.0"; + version = "20.3.0"; src = fetchPypi { inherit pname version; extension = "tar.bz2"; - sha256 = "7394ba7f272ae722a74f3d969dcf599bc4ef093bc392038748a490f1724a515d"; + sha256 = "040yzha6cyshnn6ljgk2birgh6mh2cnra48xp5ina5vfsnsmab6p"; }; propagatedBuildInputs = [ zope_interface incremental automat constantly hyperlink pyhamcrest attrs setuptools ]; diff --git a/pkgs/development/python-modules/txamqp/default.nix b/pkgs/development/python-modules/txamqp/default.nix index d59a0bb8b8a..db973dbffbe 100644 --- a/pkgs/development/python-modules/txamqp/default.nix +++ b/pkgs/development/python-modules/txamqp/default.nix @@ -1,22 +1,22 @@ { stdenv , buildPythonPackage -, fetchurl +, fetchPypi , twisted }: buildPythonPackage rec { - pname = "txamqp"; - version = "0.3"; + pname = "txAMQP"; + version = "0.8.2"; - src = fetchurl { - url = "https://launchpad.net/txamqp/trunk/${version}/+download/python-txamqp_${version}.orig.tar.gz"; - sha256 = "1r2ha0r7g14i4b5figv2spizjrmgfpspdbl1m031lw9px2hhm463"; + src = fetchPypi { + inherit pname version; + sha256 = "0jd9864k3csc06kipiwzjlk9mq4054s8kzk5q1cfnxj8572s4iv4"; }; - buildInputs = [ twisted ]; + propagatedBuildInputs = [ twisted ]; meta = with stdenv.lib; { - homepage = https://launchpad.net/txamqp; + homepage = "https://github.com/txamqp/txamqp"; description = "Library for communicating with AMQP peers and brokers using Twisted"; license = licenses.asl20; maintainers = []; diff --git a/pkgs/development/python-modules/waitress-django/default.nix b/pkgs/development/python-modules/waitress-django/default.nix index 6b3ca778ead..e76d1f11029 100644 --- a/pkgs/development/python-modules/waitress-django/default.nix +++ b/pkgs/development/python-modules/waitress-django/default.nix @@ -1,11 +1,11 @@ -{ buildPythonPackage, django_1_8, waitress }: +{ buildPythonPackage, django, waitress }: buildPythonPackage { pname = "waitress-django"; version = "0.0.0"; src = ./.; - pythonPath = [ django_1_8 waitress ]; + pythonPath = [ django waitress ]; doCheck = false; meta.description = "A waitress WSGI server serving django"; } diff --git a/pkgs/development/python-modules/xdis/default.nix b/pkgs/development/python-modules/xdis/default.nix index 831dd888fae..03e91c0cff4 100644 --- a/pkgs/development/python-modules/xdis/default.nix +++ b/pkgs/development/python-modules/xdis/default.nix @@ -6,14 +6,14 @@ buildPythonPackage rec { pname = "xdis"; - version = "4.2.2"; + version = "4.2.3"; disabled = isPy27; src = fetchFromGitHub { owner = "rocky"; repo = "python-xdis"; rev = version; - sha256 = "0d286myx6z6cbih77h8z5p9vscxvww3gy59lmi1w6y2nq6c8sqzb"; + sha256 = "0yjb07gk74qsag4ahjrbqgl7pgr2kvm43c7yrv10mwxk0ax51337"; }; checkInputs = [ pytest ]; @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "Python cross-version byte-code disassembler and marshal routines"; - homepage = https://github.com/rocky/python-xdis/; + homepage = "https://github.com/rocky/python-xdis/"; license = licenses.gpl2; }; } diff --git a/pkgs/development/tools/analysis/radare2/cutter.nix b/pkgs/development/tools/analysis/radare2/cutter.nix index fd5c58985cf..e64f39a4960 100644 --- a/pkgs/development/tools/analysis/radare2/cutter.nix +++ b/pkgs/development/tools/analysis/radare2/cutter.nix @@ -10,13 +10,13 @@ stdenv.mkDerivation rec { pname = "radare2-cutter"; - version = "1.10.1"; + version = "1.10.2"; src = fetchFromGitHub { owner = "radareorg"; repo = "cutter"; rev = "v${version}"; - sha256 = "1gvsrcskcdd1hxrjpkpc657anmfs25f174vxk4wzvn385rnmrxd3"; + sha256 = "1icv56gxpzdjqn37pk3g99vgpljdc77i6k0x601iw2885s7s01n6"; }; postUnpack = "export sourceRoot=$sourceRoot/src"; diff --git a/pkgs/development/tools/analysis/radare2/default.nix b/pkgs/development/tools/analysis/radare2/default.nix index fc5244f9644..37dc9e51bea 100644 --- a/pkgs/development/tools/analysis/radare2/default.nix +++ b/pkgs/development/tools/analysis/radare2/default.nix @@ -110,7 +110,7 @@ in { #<generated> # DO NOT EDIT! Automatically generated by ./update.py radare2 = generic { - version_commit = "23963"; + version_commit = "24088"; gittap = "4.3.1"; gittip = "e7f940d27b3b4eb2738afef78a6ea09ed770318c"; rev = "4.3.1"; @@ -120,12 +120,12 @@ in { cs_sha256 = "0ijwxxk71nr9z91yxw20zfj4bbsbrgvixps5c7cpj163xlzlwba6"; }; r2-for-cutter = generic { - version_commit = "23963"; - gittap = "4.2.1"; - gittip = "08478fdd29d8ce2a6c61fbd7b207bffc10682938"; - rev = "08478fdd29d8ce2a6c61fbd7b207bffc10682938"; - version = "2020-01-31"; - sha256 = "14b9433cgc2nabhz836zfgvgh2dwailcmvy05krsa0inmzbvx9fg"; + version_commit = "24088"; + gittap = "4.3.1"; + gittip = "e7f940d27b3b4eb2738afef78a6ea09ed770318c"; + rev = "e7f940d27b3b4eb2738afef78a6ea09ed770318c"; + version = "2020-03-05"; + sha256 = "0fiy6aj8xf9anpkk2vpkx8x0m2f26rhjb92nmg61xj13dmhchh30"; cs_ver = "4.0.1"; cs_sha256 = "0ijwxxk71nr9z91yxw20zfj4bbsbrgvixps5c7cpj163xlzlwba6"; }; diff --git a/pkgs/development/tools/analysis/tflint/default.nix b/pkgs/development/tools/analysis/tflint/default.nix index 475ff662b85..2cc9182cd68 100644 --- a/pkgs/development/tools/analysis/tflint/default.nix +++ b/pkgs/development/tools/analysis/tflint/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "tflint"; - version = "0.15.2"; + version = "0.15.3"; src = fetchFromGitHub { owner = "terraform-linters"; repo = pname; rev = "v${version}"; - sha256 = "1wwdnqb34l0ad6hlvs74acfh0744ir3ssm8wjwpxbsy0sxkrpxcf"; + sha256 = "1j56dadkyg483i2p4i76d4kdkm229yjiyariga96zxp3s4rl0fni"; }; - modSha256 = "1jbnsqa0ga372lhbgfnqvx8pdzrm0b2phzzwll4sgd0k1hzv2aqv"; + modSha256 = "14vgy5lavyp4w16g7wpi9xbni3js541rc3w9qn5ab3khqw5rdhgn"; buildInputs = stdenv.lib.optionals stdenv.isDarwin [ Security ]; diff --git a/pkgs/development/tools/build-managers/bear/default.nix b/pkgs/development/tools/build-managers/bear/default.nix index ec1577c5107..9e2fb13b0e7 100644 --- a/pkgs/development/tools/build-managers/bear/default.nix +++ b/pkgs/development/tools/build-managers/bear/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, cmake, python }: +{ stdenv, fetchFromGitHub, cmake, python3 }: stdenv.mkDerivation rec { pname = "bear"; @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ cmake ]; - buildInputs = [ python ]; # just for shebang of bin/bear + buildInputs = [ python3 ]; # just for shebang of bin/bear doCheck = false; # all fail diff --git a/pkgs/development/tools/build-managers/redo-apenwarr/beautifulsoup.nix b/pkgs/development/tools/build-managers/redo-apenwarr/beautifulsoup.nix deleted file mode 100644 index 571df924e1f..00000000000 --- a/pkgs/development/tools/build-managers/redo-apenwarr/beautifulsoup.nix +++ /dev/null @@ -1,20 +0,0 @@ -{ pythonPackages, isPy3k, pkgs }: - -pythonPackages.buildPythonPackage rec { - name = "beautifulsoup-3.2.1"; - disabled = isPy3k; - - src = pkgs.fetchurl { - url = "http://www.crummy.com/software/BeautifulSoup/download/3.x/BeautifulSoup-3.2.1.tar.gz"; - sha256 = "1nshbcpdn0jpcj51x0spzjp519pkmqz0n0748j7dgpz70zlqbfpm"; - }; - - # error: invalid command 'test' - doCheck = false; - - meta = { - homepage = http://www.crummy.com/software/BeautifulSoup/; - license = "bsd"; - description = "Undemanding HTML/XML parser"; - }; -} diff --git a/pkgs/development/tools/build-managers/redo-apenwarr/default.nix b/pkgs/development/tools/build-managers/redo-apenwarr/default.nix index f08a2e56342..ab6f505bff3 100644 --- a/pkgs/development/tools/build-managers/redo-apenwarr/default.nix +++ b/pkgs/development/tools/build-managers/redo-apenwarr/default.nix @@ -1,27 +1,16 @@ -{ stdenv, lib, python27, fetchFromGitHub, mkdocs, which, findutils, coreutils +{ stdenv, lib, python3, fetchFromGitHub, mkdocs, which, findutils, coreutils , perl , doCheck ? true -}: let - - # copy from - # pkgs/applications/networking/pyload/beautifulsoup.nix - beautifulsoup = python27.pkgs.callPackage ./beautifulsoup.nix { - pythonPackages = python27.pkgs; - }; - - mkdocs-exclude = python27.pkgs.callPackage ./mkdocs-exclude.nix { - pythonPackages = python27.pkgs; - }; -in stdenv.mkDerivation rec { +}: stdenv.mkDerivation rec { pname = "redo-apenwarr"; - version = "0.42"; + version = "0.42a"; src = fetchFromGitHub rec { owner = "apenwarr"; repo = "redo"; rev = "${repo}-${version}"; - sha256 = "1060yb7hrxm8c7bfvb0y4j0acpxsj6hbykw1d9549zpkxxr9nsgm"; + sha256 = "172z2idslhcqibd4lw82k6349nl5fdda2vj10dqcjz0lvv6n7php"; }; postPatch = '' @@ -60,10 +49,8 @@ in stdenv.mkDerivation rec { ]; nativeBuildInputs = [ - python27 - beautifulsoup - mkdocs - mkdocs-exclude + python3 + (with python3.pkgs; [ beautifulsoup4 markdown ]) which findutils ]; diff --git a/pkgs/development/tools/build-managers/redo-apenwarr/mkdocs-exclude.nix b/pkgs/development/tools/build-managers/redo-apenwarr/mkdocs-exclude.nix deleted file mode 100644 index d8c79e33dff..00000000000 --- a/pkgs/development/tools/build-managers/redo-apenwarr/mkdocs-exclude.nix +++ /dev/null @@ -1,20 +0,0 @@ -{ pythonPackages, isPy3k, pkgs }: - -pythonPackages.buildPythonPackage rec { - name = "mkdocs-exclude"; - disabled = isPy3k; - - src = pkgs.fetchFromGitHub { - owner = "apenwarr"; - repo = "mkdocs-exclude"; - rev = "fdd67d2685ff706de126e99daeaaaf3f6f7cf3ae"; - sha256 = "1phhl79xf4xq8w2sb2w5zm4bahcr33gsbxkz7dl1dws4qhcbxrfd"; - }; - - buildInputs = with pkgs; [ - mkdocs - ]; - - # error: invalid command 'test' - doCheck = false; -} diff --git a/pkgs/development/tools/conftest/default.nix b/pkgs/development/tools/conftest/default.nix index fe8d58f7682..76e53fd73b2 100644 --- a/pkgs/development/tools/conftest/default.nix +++ b/pkgs/development/tools/conftest/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "conftest"; - version = "0.15.0"; + version = "0.18.0"; src = fetchFromGitHub { owner = "instrumenta"; repo = "conftest"; rev = "v${version}"; - sha256 = "0lb644fj80r4igxbslbd5pksirnyf6slz4yn0mznyx8i2bd1g4ic"; + sha256 = "189as930fksyqk3z636gyqdym1bqm522mya7msfnhzpnh46k5jvd"; }; - modSha256 = "1p7fjg1vcrcxb4f5hd00qxx4fqcl051klcjs6ljn4v46qcpn6dcn"; + modSha256 = "0gm08lrlaxc7504mapjdm3c4mwlzybnqxfwkkh6fawzvmd9sqddr"; buildInputs = stdenv.lib.optionals stdenv.isDarwin [ Security ]; @@ -22,7 +22,7 @@ buildGoModule rec { meta = with stdenv.lib; { description = "Write tests against structured configuration data"; - homepage = https://github.com/instrumenta/conftest; + homepage = "https://github.com/instrumenta/conftest"; license = licenses.asl20; maintainers = with maintainers; [ yurrriq ]; platforms = platforms.all; diff --git a/pkgs/development/tools/go2nix/default.nix b/pkgs/development/tools/go2nix/default.nix index 26458a3ca2e..adf0977c67d 100644 --- a/pkgs/development/tools/go2nix/default.nix +++ b/pkgs/development/tools/go2nix/default.nix @@ -19,7 +19,8 @@ buildGoPackage rec { outputs = [ "bin" "out" "man" ]; - buildInputs = [ go-bindata gotools makeWrapper ]; + nativeBuildInputs = [ go-bindata gotools makeWrapper ]; + preBuild = ''go generate ./...''; postInstall = '' diff --git a/pkgs/development/tools/godot/default.nix b/pkgs/development/tools/godot/default.nix index 56b81f494e1..832d30ee8e8 100644 --- a/pkgs/development/tools/godot/default.nix +++ b/pkgs/development/tools/godot/default.nix @@ -10,13 +10,13 @@ let }; in stdenv.mkDerivation rec { pname = "godot"; - version = "3.2"; + version = "3.2.1"; src = fetchFromGitHub { owner = "godotengine"; repo = "godot"; rev = "${version}-stable"; - sha256 = "0f15izjl4i2xlz1xj5pcslzl9gm3rmr3c21gh256ynpi2zhhkcdd"; + sha256 = "1kndls0rklha7kz9l4i2ivjxab4jpk3b2j7dcgcg2qc3s81yd0r6"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/pkgs/development/tools/metals/default.nix b/pkgs/development/tools/metals/default.nix index 6a6aafaf9e5..85a27fd9150 100644 --- a/pkgs/development/tools/metals/default.nix +++ b/pkgs/development/tools/metals/default.nix @@ -2,7 +2,7 @@ let baseName = "metals"; - version = "0.8.2"; + version = "0.8.3"; deps = stdenv.mkDerivation { name = "${baseName}-deps-${version}"; buildCommand = '' @@ -15,7 +15,7 @@ let ''; outputHashMode = "recursive"; outputHashAlgo = "sha256"; - outputHash = "09acvrfv23q1iv4sq7jhpf5frcv7dk2nq147licma5iixc726bdx"; + outputHash = "1l196glr7rbsvrqmq6i7iw532jkz8d1w5m9nh0kh5z12visc7bkk"; }; in stdenv.mkDerivation rec { diff --git a/pkgs/development/tools/misc/gede/default.nix b/pkgs/development/tools/misc/gede/default.nix index 00cc411dc40..0965f9fcddc 100644 --- a/pkgs/development/tools/misc/gede/default.nix +++ b/pkgs/development/tools/misc/gede/default.nix @@ -2,11 +2,11 @@ mkDerivation rec { pname = "gede"; - version = "2.15.4"; + version = "2.16.2"; src = fetchurl { url = "http://gede.acidron.com/uploads/source/${pname}-${version}.tar.xz"; - sha256 = "0bg7vyvznn1gn6w5yn14j59xph9psf2fyxr434pk62wmbzdpmkfg"; + sha256 = "18a8n9yvhgkbc97p2995j7b5ncfdzy1fy13ahdafqmcpkl4r1hrj"; }; nativeBuildInputs = [ qmake makeWrapper python ]; diff --git a/pkgs/development/tools/misc/intel-gpu-tools/default.nix b/pkgs/development/tools/misc/intel-gpu-tools/default.nix index 5bf7e22bfbd..19556aeafc0 100644 --- a/pkgs/development/tools/misc/intel-gpu-tools/default.nix +++ b/pkgs/development/tools/misc/intel-gpu-tools/default.nix @@ -5,11 +5,11 @@ stdenv.mkDerivation rec { pname = "intel-gpu-tools"; - version = "1.24"; + version = "1.25"; src = fetchurl { url = "https://xorg.freedesktop.org/archive/individual/app/igt-gpu-tools-${version}.tar.xz"; - sha256 = "1gr1m18w73hmh6n9w2f6gky21qc0pls14bgxkhy95z7azrr7qdap"; + sha256 = "04fx7xclhick3k7fyk9c4mn8mxzf1253j1r0hrvj9sl40j7lsia0"; }; nativeBuildInputs = [ pkgconfig utilmacros ]; @@ -34,7 +34,7 @@ stdenv.mkDerivation rec { enableParallelBuilding = true; meta = with stdenv.lib; { - homepage = https://01.org/linuxgraphics/; + homepage = "https://01.org/linuxgraphics/"; description = "Tools for development and testing of the Intel DRM driver"; license = licenses.mit; platforms = [ "x86_64-linux" "i686-linux" ]; diff --git a/pkgs/development/tools/pgloader/default.nix b/pkgs/development/tools/pgloader/default.nix index 8aaae2b1c43..94ba95b2d92 100644 --- a/pkgs/development/tools/pgloader/default.nix +++ b/pkgs/development/tools/pgloader/default.nix @@ -1,11 +1,11 @@ { stdenv, fetchurl, makeWrapper, sbcl, sqlite, freetds, libzip, curl, git, cacert, openssl }: stdenv.mkDerivation rec { pname = "pgloader"; - version = "3.6.1"; + version = "3.6.2"; src = fetchurl { - url = "https://github.com/dimitri/pgloader/releases/download/v3.6.1/pgloader-bundle-3.6.1.tgz"; - sha256 = "1sm8xmq30d1biin5br0y3vrv4fydbrzfqglz1hnvrkdyxrg7d6f9"; + url = "https://github.com/dimitri/pgloader/releases/download/v3.6.2/pgloader-bundle-3.6.2.tgz"; + sha256 = "1jqnw6pw11kwyy8zm2g7g85r8197fy0q4l70yybw9wr87wnqqnz3"; }; nativeBuildInputs = [ git makeWrapper ]; @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { ''; meta = with stdenv.lib; { - homepage = https://pgloader.io/; + homepage = "https://pgloader.io/"; description = "pgloader loads data into PostgreSQL and allows you to implement Continuous Migration from your current database to PostgreSQL"; maintainers = with maintainers; [ mguentner ]; license = licenses.postgresql; diff --git a/pkgs/development/tools/rust/cargo-make/Cargo.lock b/pkgs/development/tools/rust/cargo-make/Cargo.lock index bf634d33805..86a539b33d7 100644 --- a/pkgs/development/tools/rust/cargo-make/Cargo.lock +++ b/pkgs/development/tools/rust/cargo-make/Cargo.lock @@ -104,7 +104,7 @@ dependencies = [ [[package]] name = "cargo-make" -version = "0.29.0" +version = "0.30.0" dependencies = [ "ci_info 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)", "clap 2.33.0 (registry+https://github.com/rust-lang/crates.io-index)", diff --git a/pkgs/development/tools/rust/cargo-make/default.nix b/pkgs/development/tools/rust/cargo-make/default.nix index a7ccc058191..f3d6788e3ac 100644 --- a/pkgs/development/tools/rust/cargo-make/default.nix +++ b/pkgs/development/tools/rust/cargo-make/default.nix @@ -2,7 +2,7 @@ rustPlatform.buildRustPackage rec { pname = "cargo-make"; - version = "0.29.0"; + version = "0.30.0"; src = let @@ -10,7 +10,7 @@ rustPlatform.buildRustPackage rec { owner = "sagiegurari"; repo = pname; rev = version; - sha256 = "0sxwc61iaqln37m45a3sy1c92ri4zad8g5h5fgk5plj0qlps80np"; + sha256 = "0zlj2jys97nphymxrzdjmnh9vv7rsq3fgidap96mh26q9af7ffbz"; }; in runCommand "source" {} '' @@ -24,7 +24,7 @@ rustPlatform.buildRustPackage rec { buildInputs = [ openssl ] ++ stdenv.lib.optionals stdenv.isDarwin [ Security ]; - cargoSha256 = "1w7nw3amb5by60a8aqvwka4aify8k3csjqys7arzksy98jyn6b4j"; + cargoSha256 = "1pjdpnilbxn7vzxl15j5d3k07a80y1mr5cdmj96miyq89j5mmjq0"; # Some tests fail because they need network access. # However, Travis ensures a proper build. diff --git a/pkgs/development/tools/trellis/default.nix b/pkgs/development/tools/trellis/default.nix index 130aa87f7ce..d297105ea91 100644 --- a/pkgs/development/tools/trellis/default.nix +++ b/pkgs/development/tools/trellis/default.nix @@ -8,24 +8,26 @@ let in stdenv.mkDerivation rec { pname = "trellis"; - version = "2020.02.04"; + version = "2020.03.25"; + # git describe --tags realVersion = with stdenv.lib; with builtins; - "1.0-130-g${substring 0 7 (elemAt srcs 0).rev}"; + "1.0-152-g${substring 0 7 (elemAt srcs 0).rev}"; srcs = [ (fetchFromGitHub { owner = "SymbiFlow"; repo = "prjtrellis"; - rev = "4e4b95c8e03583d48d76d1229f9c7825e2ee5be1"; - sha256 = "02kg48393bjiys56r62b4ks2xvfarw9phi5bips2xsnj9c99pmg0"; + rev = "c27bfc220a9f85f04173840d1ea081ba478adc9c"; + sha256 = "1fyl51246ns2njvij8g7k9a9axvhz8n8g09fny5dym9q7hcx08qh"; name = "trellis"; }) + (fetchFromGitHub { owner = "SymbiFlow"; repo = "prjtrellis-db"; - rev = "717478b757a702bbc7e3e11a5fbecee2a64f7922"; - sha256 = "0q4j8qz3m2hissn2a82ck542cx62bp4f0wwzl3g22yv59i13yg83"; + rev = "c137076fdd8bfca3d2bf9cdacda9983dbbec599a"; + sha256 = "1br0vw8wwcn2qhs8kxkis5xqlr2nw7r3mf1qwjp8xckd6fa1wlcw"; name = "trellis-database"; }) ]; diff --git a/pkgs/development/tools/unityhub/default.nix b/pkgs/development/tools/unityhub/default.nix index f52d790c106..2939df0ba20 100644 --- a/pkgs/development/tools/unityhub/default.nix +++ b/pkgs/development/tools/unityhub/default.nix @@ -17,11 +17,11 @@ appimageTools.wrapType2 rec { src = fetchurl { url = "https://public-cdn.cloud.unity3d.com/hub/prod/UnityHub.AppImage"; - sha256 = "1rx7ih94ig3pd1yx1d3fpx7zpixq3j5birkpnzkh778qqsdrg0nf"; + sha256 = "05p5kqbwgqyk2aw2lix5dk1ql16aj6iczxrc63a1l0vj8wrha7z4"; }; meta = with stdenv.lib; { - homepage = https://unity3d.com/; + homepage = "https://unity3d.com/"; description = "Game development tool"; longDescription = '' Popular development platform for creating 2D and 3D multiplatform games diff --git a/pkgs/development/tools/vagrant/default.nix b/pkgs/development/tools/vagrant/default.nix index ee810012862..677fddd0f60 100644 --- a/pkgs/development/tools/vagrant/default.nix +++ b/pkgs/development/tools/vagrant/default.nix @@ -118,7 +118,7 @@ in buildRubyGem rec { description = "A tool for building complete development environments"; homepage = https://www.vagrantup.com/; license = licenses.mit; - maintainers = with maintainers; [ aneeshusa ma27 ]; + maintainers = with maintainers; [ ma27 ]; platforms = with platforms; linux ++ darwin; }; } diff --git a/pkgs/development/tools/wally-cli/default.nix b/pkgs/development/tools/wally-cli/default.nix index b7333e45644..6020d6fe876 100644 --- a/pkgs/development/tools/wally-cli/default.nix +++ b/pkgs/development/tools/wally-cli/default.nix @@ -7,10 +7,9 @@ buildGoPackage rec { goPackagePath = "github.com/zsa/wally"; subPackages = [ "cli" ]; - nativeBuildInputs = [ - pkg-config - libusb1 - ]; + nativeBuildInputs = [ pkg-config ]; + + buildInputs = [ libusb1 ]; src = fetchFromGitHub { owner = "zsa"; diff --git a/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock.patch b/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock.patch index e30e9c3d7e5..29886fccd5f 100644 --- a/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock.patch +++ b/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock.patch @@ -1,19 +1,19 @@ -From 792dcf4aef3144222e3fab9498bda620879664ab Mon Sep 17 00:00:00 2001 +From 786cd8df9e9fa245c4dbab1bfd21b7949b8a5300 Mon Sep 17 00:00:00 2001 From: Maximilian Bosch <maximilian@mbosch.me> -Date: Sat, 7 Mar 2020 22:56:36 +0100 +Date: Thu, 26 Mar 2020 01:54:11 +0100 Subject: [PATCH] Add cargo.lock --- - Cargo.lock | 2432 ++++++++++++++++++++++++++++++++++++++++++++++++++++ - 1 file changed, 2432 insertions(+) + Cargo.lock | 2527 ++++++++++++++++++++++++++++++++++++++++++++++++++++ + 1 file changed, 2527 insertions(+) create mode 100644 Cargo.lock diff --git a/Cargo.lock b/Cargo.lock new file mode 100644 -index 00000000..2cd91628 +index 00000000..976ea6d4 --- /dev/null +++ b/Cargo.lock -@@ -0,0 +1,2432 @@ +@@ -0,0 +1,2527 @@ +# This file is automatically @generated by Cargo. +# It is not intended for manual editing. +[[package]] @@ -31,9 +31,9 @@ index 00000000..2cd91628 + +[[package]] +name = "aho-corasick" -+version = "0.7.9" ++version = "0.7.10" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "d5e63fd144e18ba274ae7095c0197a870a7b9468abc801dd62f190d80817d2ec" ++checksum = "8716408b8bc624ed7f65d223ddb9ac2d044c0547b6fa4b0d554f3a9540496ada" +dependencies = [ + "memchr", +] @@ -49,9 +49,9 @@ index 00000000..2cd91628 + +[[package]] +name = "anyhow" -+version = "1.0.26" ++version = "1.0.27" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "7825f6833612eb2414095684fcf6c635becf3ce97fe48cf6421321e93bfbd53c" ++checksum = "013a6e0a2cbe3d20f9c60b65458f7a7f7a5e636c5d0f45a5a6aee5d4b1f01785" + +[[package]] +name = "arrayref" @@ -192,9 +192,9 @@ index 00000000..2cd91628 + +[[package]] +name = "bumpalo" -+version = "3.2.0" ++version = "3.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "1f359dc14ff8911330a51ef78022d376f25ed00248912803b58f00cb1c27f742" ++checksum = "12ae9db68ad7fac5fe51304d20f016c911539251075a214f8e663babefa35187" + +[[package]] +name = "byteorder" @@ -203,15 +203,6 @@ index 00000000..2cd91628 +checksum = "08c48aae112d48ed9f069b33538ea9e3e90aa263cfa3d1c24309612b1f7472de" + +[[package]] -+name = "c2-chacha" -+version = "0.2.3" -+source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "214238caa1bf3a496ec3392968969cab8549f96ff30652c9e56885329315f6bb" -+dependencies = [ -+ "ppv-lite86", -+] -+ -+[[package]] +name = "canvas" +version = "0.1.0" +dependencies = [ @@ -368,9 +359,9 @@ index 00000000..2cd91628 + +[[package]] +name = "curl" -+version = "0.4.26" ++version = "0.4.28" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "ecb534fed9060d04bccaa8b8e1e2d3d5a0d7a9ec6d9c667691c80a3c6b7d19ef" ++checksum = "eda1c0c03cacf3365d84818a40293f0e3f3953db8759c9c565a3b434edf0b52e" +dependencies = [ + "curl-sys", + "libc", @@ -383,9 +374,9 @@ index 00000000..2cd91628 + +[[package]] +name = "curl-sys" -+version = "0.4.28+curl-7.69.0" ++version = "0.4.30+curl-7.69.1" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "e2c6b7fa5d36aa192e410788b77af65f339af24c8786419e8b48173689a484bf" ++checksum = "923b38e423a8f47a4058e96f2a1fa2865a6231097ee860debd678d244277d50c" +dependencies = [ + "cc", + "libc", @@ -544,6 +535,31 @@ index 00000000..2cd91628 +checksum = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba" + +[[package]] ++name = "futures" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "5c329ae8753502fb44ae4fc2b622fa2a94652c41e795143765ba0927f92ab780" ++dependencies = [ ++ "futures-channel", ++ "futures-core", ++ "futures-executor", ++ "futures-io", ++ "futures-sink", ++ "futures-task", ++ "futures-util", ++] ++ ++[[package]] ++name = "futures-channel" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "f0c77d04ce8edd9cb903932b608268b3fffec4163dc053b3b402bf47eac1f1a8" ++dependencies = [ ++ "futures-core", ++ "futures-sink", ++] ++ ++[[package]] +name = "futures-channel-preview" +version = "0.3.0-alpha.19" +source = "registry+https://github.com/rust-lang/crates.io-index" @@ -553,12 +569,78 @@ index 00000000..2cd91628 +] + +[[package]] ++name = "futures-core" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "f25592f769825e89b92358db00d26f965761e094951ac44d3663ef25b7ac464a" ++ ++[[package]] +name = "futures-core-preview" +version = "0.3.0-alpha.19" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "b35b6263fb1ef523c3056565fa67b1d16f0a8604ff12b11b08c25f28a734c60a" + +[[package]] ++name = "futures-executor" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "f674f3e1bcb15b37284a90cedf55afdba482ab061c407a9c0ebbd0f3109741ba" ++dependencies = [ ++ "futures-core", ++ "futures-task", ++ "futures-util", ++] ++ ++[[package]] ++name = "futures-io" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "a638959aa96152c7a4cddf50fcb1e3fede0583b27157c26e67d6f99904090dc6" ++ ++[[package]] ++name = "futures-macro" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "9a5081aa3de1f7542a794a397cde100ed903b0630152d0973479018fd85423a7" ++dependencies = [ ++ "proc-macro-hack", ++ "proc-macro2 1.0.9", ++ "quote 1.0.3", ++ "syn 1.0.17", ++] ++ ++[[package]] ++name = "futures-sink" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "3466821b4bc114d95b087b850a724c6f83115e929bc88f1fa98a3304a944c8a6" ++ ++[[package]] ++name = "futures-task" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "7b0a34e53cf6cdcd0178aa573aed466b646eb3db769570841fda0c7ede375a27" ++ ++[[package]] ++name = "futures-util" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "22766cf25d64306bedf0384da004d05c9974ab104fcc4528f1236181c18004c5" ++dependencies = [ ++ "futures-channel", ++ "futures-core", ++ "futures-io", ++ "futures-macro", ++ "futures-sink", ++ "futures-task", ++ "memchr", ++ "pin-utils", ++ "proc-macro-hack", ++ "proc-macro-nested", ++ "slab", ++] ++ ++[[package]] +name = "getrandom" +version = "0.1.14" +source = "registry+https://github.com/rust-lang/crates.io-index" @@ -700,7 +782,7 @@ index 00000000..2cd91628 + +[[package]] +name = "js-sys" -+version = "0.3.36" ++version = "0.3.37" +dependencies = [ + "wasm-bindgen", + "wasm-bindgen-futures", @@ -729,9 +811,9 @@ index 00000000..2cd91628 + +[[package]] +name = "libc" -+version = "0.2.67" ++version = "0.2.68" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "eb147597cdf94ed43ab7a9038716637d2d1bf2bc571da995d0028dec06bd3018" ++checksum = "dea0c0405123bba743ee3f91f49b1c7cfb684eef0da0a50110f758ccf24cdff0" + +[[package]] +name = "libz-sys" @@ -789,11 +871,11 @@ index 00000000..2cd91628 + +[[package]] +name = "memoffset" -+version = "0.5.3" ++version = "0.5.4" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "75189eb85871ea5c2e2c15abbdd541185f63b408415e5051f5cac122d8c774b9" ++checksum = "b4fc2c02a7e374099d4ee95a193111f72d2110197fe200272371758f6c3643d8" +dependencies = [ -+ "rustc_version", ++ "autocfg 1.0.0", +] + +[[package]] @@ -949,9 +1031,9 @@ index 00000000..2cd91628 + +[[package]] +name = "openssl-src" -+version = "111.6.1+1.1.1d" ++version = "111.7.0+1.1.1e" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "c91b04cb43c1a8a90e934e0cd612e2a5715d976d2d6cff4490278a0cddf35005" ++checksum = "6fde5a8c01ef8aa31ff8d0aaf9bae248581ed8840fca0b66e51cc9f294a8cb2c" +dependencies = [ + "cc", +] @@ -1025,6 +1107,12 @@ index 00000000..2cd91628 +] + +[[package]] ++name = "pin-utils" ++version = "0.1.0-alpha.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "5894c618ce612a3fa23881b152b608bafb8c56cfc22f434a3ba3120b40f7b587" ++ ++[[package]] +name = "pkg-config" +version = "0.3.17" +source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1079,31 +1167,43 @@ index 00000000..2cd91628 + +[[package]] +name = "proc-macro-error" -+version = "0.4.11" ++version = "0.4.12" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "e7959c6467d962050d639361f7703b2051c43036d03493c36f01d440fdd3138a" ++checksum = "18f33027081eba0a6d8aba6d1b1c3a3be58cbb12106341c2d5759fcd9b5277e7" +dependencies = [ + "proc-macro-error-attr", + "proc-macro2 1.0.9", + "quote 1.0.3", -+ "syn 1.0.16", ++ "syn 1.0.17", + "version_check 0.9.1", +] + +[[package]] +name = "proc-macro-error-attr" -+version = "0.4.11" ++version = "0.4.12" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "e4002d9f55991d5e019fb940a90e1a95eb80c24e77cb2462dd4dc869604d543a" ++checksum = "8a5b4b77fdb63c1eca72173d68d24501c54ab1269409f6b672c85deb18af69de" +dependencies = [ + "proc-macro2 1.0.9", + "quote 1.0.3", -+ "syn 1.0.16", ++ "syn 1.0.17", + "syn-mid", + "version_check 0.9.1", +] + +[[package]] ++name = "proc-macro-hack" ++version = "0.5.14" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "fcfdefadc3d57ca21cf17990a28ef4c0f7c61383a28cb7604cf4a18e6ede1420" ++ ++[[package]] ++name = "proc-macro-nested" ++version = "0.1.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "8e946095f9d3ed29ec38de908c22f95d9ac008e424c7bcae54c75a79c527c694" ++ ++[[package]] +name = "proc-macro2" +version = "0.4.30" +source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1198,7 +1298,7 @@ index 00000000..2cd91628 +dependencies = [ + "getrandom", + "libc", -+ "rand_chacha 0.2.1", ++ "rand_chacha 0.2.2", + "rand_core 0.5.1", + "rand_hc 0.2.0", +] @@ -1215,11 +1315,11 @@ index 00000000..2cd91628 + +[[package]] +name = "rand_chacha" -+version = "0.2.1" ++version = "0.2.2" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "03a2a90da8c7523f554344f921aa97283eadf6ac484a6d2a7d0212fa7f8d6853" ++checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402" +dependencies = [ -+ "c2-chacha", ++ "ppv-lite86", + "rand_core 0.5.1", +] + @@ -1395,9 +1495,9 @@ index 00000000..2cd91628 + +[[package]] +name = "regex" -+version = "1.3.4" ++version = "1.3.6" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "322cf97724bea3ee221b78fe25ac9c46114ebb51747ad5babd51a2fc6a8235a8" ++checksum = "7f6946991529684867e47d86474e3a6d0c0ab9b82d5821e314b1ede31fa3a4b3" +dependencies = [ + "aho-corasick", + "memchr", @@ -1407,9 +1507,9 @@ index 00000000..2cd91628 + +[[package]] +name = "regex-syntax" -+version = "0.6.16" ++version = "0.6.17" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "1132f845907680735a84409c3bebc64d1364a5683ffbce899550cd09d5eaefc1" ++checksum = "7fe5bd57d1d7414c6b5ed48563a2c855d995ff777729dcd91c369ec7fea395ae" + +[[package]] +name = "remove_dir_all" @@ -1477,19 +1577,10 @@ index 00000000..2cd91628 +checksum = "4c691c0e608126e00913e33f0ccf3727d5fc84573623b8d65b2df340b5201783" + +[[package]] -+name = "rustc_version" -+version = "0.2.3" -+source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a" -+dependencies = [ -+ "semver", -+] -+ -+[[package]] +name = "ryu" -+version = "1.0.2" ++version = "1.0.3" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "bfa8506c1de11c9c4e4c38863ccbe02a305c8188e85a05a784c9e11e1c3910c8" ++checksum = "535622e6be132bccd223f4bb2b8ac8d53cda3c7a6394944d3b2b33fb974f9d76" + +[[package]] +name = "safemem" @@ -1509,9 +1600,9 @@ index 00000000..2cd91628 + +[[package]] +name = "schannel" -+version = "0.1.17" ++version = "0.1.18" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "507a9e6e8ffe0a4e0ebb9a10293e62fdf7657c06f1b8bb07a8fcf697d2abf295" ++checksum = "039c25b130bd8c1321ee2d7de7fde2659fa9c2744e4bb29711cfc852ea53cd19" +dependencies = [ + "lazy_static", + "winapi", @@ -1536,38 +1627,23 @@ index 00000000..2cd91628 +checksum = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd" + +[[package]] -+name = "semver" -+version = "0.9.0" -+source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403" -+dependencies = [ -+ "semver-parser", -+] -+ -+[[package]] -+name = "semver-parser" -+version = "0.7.0" -+source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3" -+ -+[[package]] +name = "serde" -+version = "1.0.104" ++version = "1.0.105" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "414115f25f818d7dfccec8ee535d76949ae78584fc4f79a6f45a904bf8ab4449" ++checksum = "e707fbbf255b8fc8c3b99abb91e7257a622caeb20a9818cbadbeeede4e0932ff" +dependencies = [ + "serde_derive", +] + +[[package]] +name = "serde_derive" -+version = "1.0.104" ++version = "1.0.105" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "128f9e303a5a29922045a830221b8f78ec74a5f544944f3d5984f8ec3895ef64" ++checksum = "ac5d00fc561ba2724df6758a17de23df5914f20e41cb00f94d5b7ae42fffaff8" +dependencies = [ + "proc-macro2 1.0.9", + "quote 1.0.3", -+ "syn 1.0.16", ++ "syn 1.0.17", +] + +[[package]] @@ -1594,6 +1670,12 @@ index 00000000..2cd91628 +checksum = "0b8de496cf83d4ed58b6be86c3a275b8602f6ffe98d3024a869e124147a9a3ac" + +[[package]] ++name = "slab" ++version = "0.4.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++checksum = "c111b5bd5695e56cffe5129854aa230b39c93a305372fdbb2668ca2394eea9f8" ++ ++[[package]] +name = "smallvec" +version = "1.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1631,9 +1713,9 @@ index 00000000..2cd91628 + +[[package]] +name = "structopt" -+version = "0.3.11" ++version = "0.3.12" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "3fe43617218c0805c6eb37160119dc3c548110a67786da7218d1c6555212f073" ++checksum = "c8faa2719539bbe9d77869bfb15d4ee769f99525e707931452c97b693b3f159d" +dependencies = [ + "clap", + "lazy_static", @@ -1642,15 +1724,15 @@ index 00000000..2cd91628 + +[[package]] +name = "structopt-derive" -+version = "0.4.4" ++version = "0.4.5" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "c6e79c80e0f4efd86ca960218d4e056249be189ff1c42824dcd9a7f51a56f0bd" ++checksum = "3f88b8e18c69496aad6f9ddf4630dd7d585bcaf765786cb415b9aec2fe5a0430" +dependencies = [ + "heck", + "proc-macro-error", + "proc-macro2 1.0.9", + "quote 1.0.3", -+ "syn 1.0.16", ++ "syn 1.0.17", +] + +[[package]] @@ -1666,9 +1748,9 @@ index 00000000..2cd91628 + +[[package]] +name = "syn" -+version = "1.0.16" ++version = "1.0.17" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "123bd9499cfb380418d509322d7a6d52e5315f064fe4b3ad18a53d6b92c07859" ++checksum = "0df0eb663f387145cab623dea85b09c2c5b4b0aef44e945d928e682fce71bb03" +dependencies = [ + "proc-macro2 1.0.9", + "quote 1.0.3", @@ -1683,7 +1765,7 @@ index 00000000..2cd91628 +dependencies = [ + "proc-macro2 1.0.9", + "quote 1.0.3", -+ "syn 1.0.16", ++ "syn 1.0.17", +] + +[[package]] @@ -1818,9 +1900,9 @@ index 00000000..2cd91628 + +[[package]] +name = "trybuild" -+version = "1.0.23" ++version = "1.0.24" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "26ff1b18659a2218332848d76ad1c867ce4c6ee37b085e6bc8de9a6d11401220" ++checksum = "24b4e093c5ed1a60b22557090120aa14f90ca801549c0949d775ea07c1407720" +dependencies = [ + "glob", + "lazy_static", @@ -1958,7 +2040,7 @@ index 00000000..2cd91628 + "heck", + "proc-macro2 1.0.9", + "quote 1.0.3", -+ "syn 1.0.16", ++ "syn 1.0.17", +] + +[[package]] @@ -1969,7 +2051,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "cfg-if", + "js-sys", @@ -1985,7 +2067,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-anyref-xform" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "anyhow", + "rayon", @@ -1997,14 +2079,14 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-backend" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "bumpalo", + "lazy_static", + "log 0.4.8", + "proc-macro2 1.0.9", + "quote 1.0.3", -+ "syn 1.0.16", ++ "syn 1.0.17", + "wasm-bindgen-shared", +] + @@ -2018,7 +2100,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-cli" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "anyhow", + "assert_cmd", @@ -2046,7 +2128,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-cli-support" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "anyhow", + "base64 0.9.3", @@ -2068,7 +2150,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-futures" -+version = "0.4.9" ++version = "0.4.10" +dependencies = [ + "cfg-if", + "futures-channel-preview", @@ -2080,7 +2162,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-macro" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "quote 1.0.3", + "trybuild", @@ -2091,18 +2173,18 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-macro-support" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "proc-macro2 1.0.9", + "quote 1.0.3", -+ "syn 1.0.16", ++ "syn 1.0.17", + "wasm-bindgen-backend", + "wasm-bindgen-shared", +] + +[[package]] +name = "wasm-bindgen-multi-value-xform" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "anyhow", + "rayon", @@ -2123,11 +2205,11 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-shared" -+version = "0.2.59" ++version = "0.2.60" + +[[package]] +name = "wasm-bindgen-test" -+version = "0.3.9" ++version = "0.3.10" +dependencies = [ + "console_error_panic_hook", + "js-sys", @@ -2153,7 +2235,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-test-macro" -+version = "0.3.9" ++version = "0.3.10" +dependencies = [ + "proc-macro2 1.0.9", + "quote 1.0.3", @@ -2161,7 +2243,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-threads-xform" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "anyhow", + "walrus", @@ -2170,7 +2252,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-wasm-conventions" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "anyhow", + "walrus", @@ -2178,7 +2260,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-wasm-interpreter" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "anyhow", + "log 0.4.8", @@ -2189,7 +2271,7 @@ index 00000000..2cd91628 + +[[package]] +name = "wasm-bindgen-webidl" -+version = "0.2.59" ++version = "0.2.60" +dependencies = [ + "anyhow", + "env_logger", @@ -2200,7 +2282,7 @@ index 00000000..2cd91628 + "quote 1.0.3", + "sourcefile", + "structopt", -+ "syn 1.0.16", ++ "syn 1.0.17", + "wasm-bindgen-backend", + "weedle", +] @@ -2254,25 +2336,25 @@ index 00000000..2cd91628 + +[[package]] +name = "wast" -+version = "9.0.0" ++version = "11.0.0" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "ee7b16105405ca2aa2376ba522d8d4b1a11604941dd3bb7df9fd2ece60f8d16a" ++checksum = "df4d67ba9266f4fcaf2e8a1afadc5e2a959e51aecc07b1ecbdf85a6ddaf08bde" +dependencies = [ + "leb128", +] + +[[package]] +name = "wat" -+version = "1.0.10" ++version = "1.0.12" +source = "registry+https://github.com/rust-lang/crates.io-index" -+checksum = "56173f7f4fb59aebe35a7e71423845e1c6c7144bfb56362d497931b6b3bed0f6" ++checksum = "9a9400dc1c8512087b2d974b1b9b0a6c4e6e26e7e8acf629e3e351165a1ed301" +dependencies = [ -+ "wast 9.0.0", ++ "wast 11.0.0", +] + +[[package]] +name = "web-sys" -+version = "0.3.36" ++version = "0.3.37" +dependencies = [ + "js-sys", + "wasm-bindgen", @@ -2316,6 +2398,19 @@ index 00000000..2cd91628 +] + +[[package]] ++name = "webxr" ++version = "0.1.0" ++dependencies = [ ++ "futures", ++ "js-sys", ++ "serde", ++ "serde_derive", ++ "wasm-bindgen", ++ "wasm-bindgen-futures", ++ "web-sys", ++] ++ ++[[package]] +name = "weedle" +version = "0.11.0" +source = "registry+https://github.com/rust-lang/crates.io-index" diff --git a/pkgs/development/tools/wasm-bindgen-cli/default.nix b/pkgs/development/tools/wasm-bindgen-cli/default.nix index e5afcb30a81..ee9a6ead7fa 100644 --- a/pkgs/development/tools/wasm-bindgen-cli/default.nix +++ b/pkgs/development/tools/wasm-bindgen-cli/default.nix @@ -2,19 +2,19 @@ rustPlatform.buildRustPackage rec { pname = "wasm-bindgen-cli"; - version = "0.2.59"; + version = "0.2.60"; src = fetchFromGitHub { owner = "rustwasm"; repo = "wasm-bindgen"; rev = version; - sha256 = "1i0hdky5dlkrzcphddm122yxfhgcvnszh4q1as0r41vhfs5ss597"; + sha256 = "1jr4v5y9hbkyg8gjkr3qc2qxwhyagfs8q3y3z248mr1919mcas8h"; }; buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [ Security curl ]; nativeBuildInputs = [ pkgconfig ]; - cargoSha256 = "1ylk9vrpajslx1zy4vqmlyqa5ygcmvir1gcn8hsr6liigf5kcz7p"; + cargoSha256 = "08g110qahipgm1qyyihgqwnkr23w0gk1gp63ici5dj2qsxnc4mxv"; cargoPatches = [ ./0001-Add-cargo.lock.patch ]; cargoBuildFlags = [ "-p" pname ]; diff --git a/pkgs/development/tools/yarn/default.nix b/pkgs/development/tools/yarn/default.nix index 9db4472f672..dfdd921fd03 100644 --- a/pkgs/development/tools/yarn/default.nix +++ b/pkgs/development/tools/yarn/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "yarn"; - version = "1.22.2"; + version = "1.22.4"; src = fetchzip { url = "https://github.com/yarnpkg/yarn/releases/download/v${version}/yarn-v${version}.tar.gz"; - sha256 = "1av52k5hl7xylxz5c0h64akz6ccd1vm64v0pzmny1661pbihiwp5"; + sha256 = "1s054c9cmlmzy6cfkawhaxvaxhqcq0a17n4sb12p0bp2lzkax9lm"; }; buildInputs = [ nodejs ]; diff --git a/pkgs/games/empty-epsilon/default.nix b/pkgs/games/empty-epsilon/default.nix index 29a789b4084..fc71c288b98 100644 --- a/pkgs/games/empty-epsilon/default.nix +++ b/pkgs/games/empty-epsilon/default.nix @@ -3,8 +3,8 @@ let major = "2020"; - minor = "02"; - patch = "18"; + minor = "03"; + patch = "22"; version = "${major}.${minor}.${patch}"; @@ -16,7 +16,7 @@ let owner = "daid"; repo = "SeriousProton"; rev = "EE-${version}"; - sha256 = "1cq32jm3p40h5mipb64i9b1kcid27bpc8g6j4k0v69cfqkjpha5c"; + sha256 = "15dk2aij571sdrmpp3p0z1njb60lz0d95x0jgqdxz2q3wqnp5p61"; }; nativeBuildInputs = [ cmake ]; @@ -42,7 +42,7 @@ stdenv.mkDerivation { owner = "daid"; repo = "EmptyEpsilon"; rev = "EE-${version}"; - sha256 = "1hl3mbg6pw2r7ri042vm86pb2xv77jvh6pag1z96bxvx791zcnwk"; + sha256 = "0idapy266mfrmi6dq3si5a8n12m1vvxr6ywbs0fs6sdkbabglc0c"; }; nativeBuildInputs = [ cmake ]; diff --git a/pkgs/games/keeperrl/default.nix b/pkgs/games/keeperrl/default.nix new file mode 100644 index 00000000000..68426a4aedf --- /dev/null +++ b/pkgs/games/keeperrl/default.nix @@ -0,0 +1,75 @@ +{ stdenv, fetchFromGitHub, requireFile +, openal, curl, libogg, libvorbis +, SDL2, SDL2_image, zlib +, unfree_assets ? false }: + +stdenv.mkDerivation rec { + pname = "keeperrl"; + version = "alpha28"; + + free-src = fetchFromGitHub { + owner = "miki151"; + repo = "keeperrl"; + rev = version; + sha256 = "0isj8ijn5a89m2r5cxk4lcsq0cydx7c0h87vgr8v5cndm3rd27cy"; + }; + + assets = if unfree_assets then requireFile rec { + name = "keeperrl_data_${version}.tar.gz"; + message = '' + This nix expression requires that the KeeperRL art assets are already + part of the store. These can be obtained from a purchased copy of the game + and found in the "data" directory. Make a tar archive of this directory + with + + "tar czf ${name} data" + + Then add this archive to the nix store with + + "nix-prefetch-url file://\$PWD/${name}". + ''; + sha256 = "0115pxdzdyma2vicxgr0j21pp82gxdyrlj090s8ihp0b50f0nk53"; + } else null; + + sourceRoot = "source"; + + srcs = [ free-src ] ++ stdenv.lib.optional unfree_assets assets; + + postUnpack = stdenv.lib.optionalString unfree_assets '' + mv data $sourceRoot + ''; + + buildInputs = [ + openal curl libogg libvorbis SDL2 SDL2_image zlib + ]; + + NIX_CFLAGS_COMPILE = [ + "-I${SDL2.dev}/include/SDL2" + ]; + + enableParallelBuilding = true; + + makeFlags = [ "OPT=true" + "RELEASE=true" + "DATA_DIR=$(out)/share" + "ENABLE_LOCAL_USER_DIR=true" + ]; + + installPhase = '' + install -Dm755 keeper $out/bin/keeper + install -Dm755 appconfig.txt $out/share/appconfig.txt + + cp -r data_free $out/share + cp -r data_contrib $out/share + ${stdenv.lib.optionalString unfree_assets "cp -r data $out/share"} + ''; + + meta = with stdenv.lib; { + description = "A dungeon management rogue-like"; + homepage = "https://keeperrl.com/"; + license = licenses.gpl2; + maintainers = with maintainers; [ chattered ]; + # TODO: Add OS X + platforms = with platforms; [ "i686-linux" "x86_64-linux" ]; + }; +} diff --git a/pkgs/games/performous/default.nix b/pkgs/games/performous/default.nix index 28487a7f8e8..96b07e9787e 100644 --- a/pkgs/games/performous/default.nix +++ b/pkgs/games/performous/default.nix @@ -21,6 +21,8 @@ stdenv.mkDerivation rec { sha256 = "08j0qhr65l7qnd5vxl4l07523qpvdwi31h4vzl3lfiinx1zcgr4x"; }; + patches = [ ./performous-cmake.patch ]; + nativeBuildInputs = [ cmake pkgconfig gettext ]; buildInputs = [ diff --git a/pkgs/games/performous/performous-cmake.patch b/pkgs/games/performous/performous-cmake.patch new file mode 100644 index 00000000000..59d2cc9a927 --- /dev/null +++ b/pkgs/games/performous/performous-cmake.patch @@ -0,0 +1,86 @@ +--- a/CMakeLists.txt ++++ b/CMakeLists.txt +@@ -1,4 +1,4 @@ +-cmake_minimum_required(VERSION 2.8) ++cmake_minimum_required(VERSION 3.6) + project(Performous CXX C) + + set(CMAKE_MODULE_PATH ${CMAKE_MODULE_PATH} "${CMAKE_SOURCE_DIR}/cmake/Modules/") +--- a/cmake/Modules/FindPango.cmake ++++ b/cmake/Modules/FindPango.cmake +@@ -2,31 +2,10 @@ + # Once done, this will define + # + # Pango_FOUND - system has Pango +-# Pango_INCLUDE_DIRS - the Pango include directories + # Pango_LIBRARIES - link these to use Pango + + include(LibFindMacros) + +-# Dependencies +-libfind_package(Pango Freetype) +-libfind_package(Pango Glib) +-libfind_package(Pango GObject) +- +-# Use pkg-config to get hints about paths +-libfind_pkg_check_modules(Pango_PKGCONF pango) +- +-# Include dir +-find_path(Pango_INCLUDE_DIR +- NAMES pango/pango.h +- HINTS ${Pango_PKGCONF_INCLUDE_DIRS} +- PATH_SUFFIXES pango-1.0 +-) +- +-# Finally the library itself +-find_library(Pango_LIBRARY +- NAMES pango-1.0 +- HINTS ${Pango_PKGCONF_LIBRARY_DIRS} +-) +- ++libfind_pkg_check_modules(Pango_PKGCONF IMPORTED_TARGET pango) ++set(Pango_LIBRARY PkgConfig::Pango_PKGCONF) + libfind_process(Pango) +- +--- a/cmake/Modules/FindPangoCairo.cmake ++++ b/cmake/Modules/FindPangoCairo.cmake +@@ -1,35 +1,11 @@ + # - Try to find PangoCairo + # Once done, this will define + # +-# PangoCairo_FOUND - system has Pango +-# PangoCairo_INCLUDE_DIRS - the Pango include directories +-# PangoCairo_LIBRARIES - link these to use Pango ++# PangoCairo_FOUND - system has PangoCairo ++# PangoCairo_LIBRARIES - link these to use PangoCairo + + include(LibFindMacros) + +-# Dependencies +-libfind_package(PangoCairo Pango) +-libfind_package(PangoCairo Cairo) +- +-# Use pkg-config to get hints about paths +-libfind_pkg_check_modules(PangoCairo_PKGCONF pangocairo) +- +-# Include dir +-find_path(PangoCairo_INCLUDE_DIR +- NAMES pango/pangocairo.h +- HINTS ${PangoCairo_PKGCONF_INCLUDE_DIRS} +- PATH_SUFFIXES pango-1.0 +-) +- +-# Finally the library itself +-find_library(PangoCairo_LIBRARY +- NAMES pangocairo-1.0 +- HINTS ${PangoCairo_PKGCONF_LIBRARY_DIRS} +-) +- +-# Set the include dir variables and the libraries and let libfind_process do the rest. +-# NOTE: Singular variables for this library, plural for libraries this this lib depends on. +-set(PangoCairo_PROCESS_INCLUDES PangoCairo_INCLUDE_DIR Pango_INCLUDE_DIR Cairo_INCLUDE_DIR) +-set(PangoCairo_PROCESS_LIBS PangoCairo_LIBRARY Pango_LIBRARY Cairo_LIBRARY) ++libfind_pkg_check_modules(PangoCairo_PKGCONF IMPORTED_TARGET pangocairo) ++set(PangoCairo_LIBRARY PkgConfig::PangoCairo_PKGCONF) + libfind_process(PangoCairo) +- diff --git a/pkgs/games/xmage/default.nix b/pkgs/games/xmage/default.nix new file mode 100644 index 00000000000..e8b8eb8a941 --- /dev/null +++ b/pkgs/games/xmage/default.nix @@ -0,0 +1,41 @@ +{ stdenv +, fetchurl +, jdk8 +, unzip +}: + +stdenv.mkDerivation rec { + name = "xmage"; + version = "1.4.42V6"; + + src = fetchurl { + url = "https://github.com/magefree/mage/releases/download/xmage_1.4.42V6/xmage_${version}.zip"; + sha256 = "14s4885ldi0rplqmab5m775plsqmmm0m89j402caiqm2q9mzvkhd"; + }; + + preferLocalBuild = true; + + unpackPhase = '' + ${unzip}/bin/unzip $src + ''; + + installPhase = '' + mkdir -p $out/bin + cp -rv ./* $out + + cat << EOS > $out/bin/xmage +exec ${jdk8}/bin/java -Xms256m -Xmx512m -XX:MaxPermSize=384m -XX:+UseConcMarkSweepGC -XX:+CMSClassUnloadingEnabled -jar $out/mage-client/lib/mage-client-1.4.42.jar +EOS + + chmod +x $out/bin/xmage + ''; + + meta = with stdenv.lib; { + description = "Magic Another Game Engine"; + license = licenses.mit; + maintainers = with maintainers; [ matthiasbeyer ]; + homepage = "http://xmage.de/"; + }; + +} + diff --git a/pkgs/misc/drivers/hplip/default.nix b/pkgs/misc/drivers/hplip/default.nix index 1514e8def97..4678442abd9 100644 --- a/pkgs/misc/drivers/hplip/default.nix +++ b/pkgs/misc/drivers/hplip/default.nix @@ -12,16 +12,16 @@ let name = "hplip-${version}"; - version = "3.19.12"; + version = "3.20.3"; src = fetchurl { url = "mirror://sourceforge/hplip/${name}.tar.gz"; - sha256 = "0mdj0sqgfxjqa550adiw1gn4z9n6wcvn55slivgf0ndn5x89iwxp"; + sha256 = "0sh6cg7yjc11x1cm4477iaslj9n8ksghs85hqwgfbk7m5b2pw2a1"; }; plugin = fetchurl { url = "https://developers.hp.com/sites/default/files/${name}-plugin.run"; - sha256 = "1fn8h1a5znjqjh071ifjdywr0xswc14286gwy6h9vvlh8hzrz347"; + sha256 = "13xyv30jqjysfk7gh0gyn7qj0pb0qvk2rlbhm85a3lw7bjycal8g"; }; hplipState = substituteAll { diff --git a/pkgs/misc/emulators/fs-uae/default.nix b/pkgs/misc/emulators/fs-uae/default.nix index 9f092f91e2e..38730ec752b 100644 --- a/pkgs/misc/emulators/fs-uae/default.nix +++ b/pkgs/misc/emulators/fs-uae/default.nix @@ -5,11 +5,11 @@ with stdenv.lib; stdenv.mkDerivation rec { pname = "fs-uae"; - version = "3.0.2"; + version = "3.0.3"; src = fetchurl { url = "https://fs-uae.net/stable/${version}/${pname}-${version}.tar.gz"; - sha256 = "1awakxs3rlbm0bxpi37cbavi5fpb89wszksyw62as4nz3qsdrpjf"; + sha256 = "0v5c8ns00bam4myj7454hpkrnm9i81jwdzrp5nl7gaa18qb60hjq"; }; nativeBuildInputs = [ pkgconfig ]; @@ -24,7 +24,7 @@ stdenv.mkDerivation rec { create customized Amigas. ''; license = licenses.gpl2Plus; - homepage = https://fs-uae.net; + homepage = "https://fs-uae.net"; maintainers = with stdenv.lib; [ maintainers.AndersonTorres ]; platforms = [ "i686-linux" "x86_64-linux" ]; }; diff --git a/pkgs/misc/emulators/retroarch/cores.nix b/pkgs/misc/emulators/retroarch/cores.nix index e055e5a15fa..b1d01e12b62 100644 --- a/pkgs/misc/emulators/retroarch/cores.nix +++ b/pkgs/misc/emulators/retroarch/cores.nix @@ -786,7 +786,7 @@ in with stdenv.lib.licenses; SDL_CONFIG = "${SDL.dev}/bin/sdl-config"; dontAddPrefix = true; configurePlatforms = []; - meta.badPlatforms = [ "aarch64-linux" ]; + makeFlags = stdenv.lib.optional stdenv.hostPlatform.isAarch64 [ "platform=aarch64" ]; }; play = mkLibRetroCore { diff --git a/pkgs/misc/vim-plugins/generated.nix b/pkgs/misc/vim-plugins/generated.nix index 06667caf5c8..2a5d2eb0dfd 100644 --- a/pkgs/misc/vim-plugins/generated.nix +++ b/pkgs/misc/vim-plugins/generated.nix @@ -125,6 +125,17 @@ let }; }; + ats-vim = buildVimPluginFrom2Nix { + pname = "ats-vim"; + version = "2020-03-03"; + src = fetchFromGitHub { + owner = "vmchale"; + repo = "ats-vim"; + rev = "045fa53f1e0699e980c5c7cd85ecf237ae527ff0"; + sha256 = "1rpqy40kw0cn2h02ly71syvwz5j77xfmrs4mijkn7k0dxgamhy5s"; + }; + }; + auto-git-diff = buildVimPluginFrom2Nix { pname = "auto-git-diff"; version = "2019-09-23"; diff --git a/pkgs/misc/vim-plugins/vim-plugin-names b/pkgs/misc/vim-plugins/vim-plugin-names index 874b2471d5c..86d9912e4ed 100644 --- a/pkgs/misc/vim-plugins/vim-plugin-names +++ b/pkgs/misc/vim-plugins/vim-plugin-names @@ -540,6 +540,7 @@ vim-scripts/YankRing.vim vim-utils/vim-husk vimwiki/vimwiki vito-c/jq.vim +vmchale/ats-vim vmchale/dhall-vim w0rp/ale wakatime/vim-wakatime diff --git a/pkgs/misc/vscode-extensions/python/default.nix b/pkgs/misc/vscode-extensions/python/default.nix index dec6bbbba10..604d0fa3ad9 100644 --- a/pkgs/misc/vscode-extensions/python/default.nix +++ b/pkgs/misc/vscode-extensions/python/default.nix @@ -1,18 +1,18 @@ { lib, stdenv, fetchurl, vscode-utils, extractNuGet , icu, curl, openssl, lttng-ust, autoPatchelfHook -, pythonUseFixed ? false, python # When `true`, the python default setting will be fixed to specified. - # Use version from `PATH` for default setting otherwise. - # Defaults to `false` as we expect it to be project specific most of the time. -, ctagsUseFixed ? true, ctags # When `true`, the ctags default setting will be fixed to specified. - # Use version from `PATH` for default setting otherwise. - # Defaults to `true` as usually not defined on a per projet basis. +, python3 +, pythonUseFixed ? false # When `true`, the python default setting will be fixed to specified. + # Use version from `PATH` for default setting otherwise. + # Defaults to `false` as we expect it to be project specific most of the time. +, ctagsUseFixed ? true, ctags # When `true`, the ctags default setting will be fixed to specified. + # Use version from `PATH` for default setting otherwise. + # Defaults to `true` as usually not defined on a per projet basis. }: -assert pythonUseFixed -> null != python; assert ctagsUseFixed -> null != ctags; let - pythonDefaultsTo = if pythonUseFixed then "${python}/bin/python" else "python"; + pythonDefaultsTo = if pythonUseFixed then "${python3}/bin/python" else "python"; ctagsDefaultsTo = if ctagsUseFixed then "${ctags}/bin/ctags" else "ctags"; # The arch tag comes from 'PlatformName' defined here: @@ -23,14 +23,14 @@ let else throw "Only x86_64 Linux and Darwin are supported."; languageServerSha256 = { - linux-x64 = "10qwi8lih5i6216d1vqsmviab73ha0d3zdvircrgrydkf0d4ancd"; - osx-x64 = "08gjxs0bjhz5a9l35vvgwnvzshsyyqiqvb5hxv6w0k2ajgv5z7av"; + linux-x64 = "1pmj5pb4xylx4gdx4zgmisn0si59qx51n2m1bh7clv29q6biw05n"; + osx-x64 = "0ishiy1z9dghj4ryh95vy8rw0v7q4birdga2zdb4a8am31wmp94b"; }.${arch}; # version is languageServerVersion in the package.json languageServer = extractNuGet rec { name = "Python-Language-Server"; - version = "0.4.127"; + version = "0.5.30"; src = fetchurl { url = "https://pvsc.azureedge.net/python-language-server-stable/${name}-${arch}.${version}.nupkg"; @@ -41,8 +41,8 @@ in vscode-utils.buildVscodeMarketplaceExtension { mktplcRef = { name = "python"; publisher = "ms-python"; - version = "2020.2.64397"; - sha256 = "1kwyc5ycz1276i2zbw93mpq59y2py6kj71gvhzya8xvm184jk07a"; + version = "2020.3.69010"; + sha256 = "1dg8wfc3yl0msg6c9ccbvwc78f559109slsagi0lgnbc40v6v24b"; }; buildInputs = [ @@ -54,6 +54,11 @@ in vscode-utils.buildVscodeMarketplaceExtension { nativeBuildInputs = [ autoPatchelfHook + python3.pkgs.wrapPython + ]; + + pythonPath = with python3.pkgs; [ + setuptools ]; postPatch = '' @@ -70,6 +75,8 @@ in vscode-utils.buildVscodeMarketplaceExtension { mkdir -p "$out/$installPrefix/languageServer.${languageServer.version}" cp -R --no-preserve=ownership ${languageServer}/* "$out/$installPrefix/languageServer.${languageServer.version}" chmod -R +wx "$out/$installPrefix/languageServer.${languageServer.version}" + + patchPythonScript "$out/$installPrefix/pythonFiles/lib/python/isort/main.py" ''; meta = with lib; { diff --git a/pkgs/os-specific/linux/earlyoom/default.nix b/pkgs/os-specific/linux/earlyoom/default.nix index 9725ea66dd4..96283af40d0 100644 --- a/pkgs/os-specific/linux/earlyoom/default.nix +++ b/pkgs/os-specific/linux/earlyoom/default.nix @@ -3,13 +3,13 @@ stdenv.mkDerivation rec { name = "earlyoom-${VERSION}"; # This environment variable is read by make to set the build version. - VERSION = "1.3"; + VERSION = "1.5"; src = fetchFromGitHub { owner = "rfjakob"; repo = "earlyoom"; rev = "v${VERSION}"; - sha256 = "0fwbx0y80nqgkxrc9kf9j3iwa0wbps2jmqir3pgqbc2cj0wjh0lr"; + sha256 = "1wcw2lfd9ajachbrjqywkzj9x6zv32gij2r6yap26x1wdd5x7i93"; }; installPhase = '' diff --git a/pkgs/os-specific/linux/fscrypt/default.nix b/pkgs/os-specific/linux/fscrypt/default.nix index bb04efaf1ca..9f734a47c29 100644 --- a/pkgs/os-specific/linux/fscrypt/default.nix +++ b/pkgs/os-specific/linux/fscrypt/default.nix @@ -4,19 +4,18 @@ buildGoModule rec { pname = "fscrypt"; - version = "0.2.6"; + version = "0.2.7"; src = fetchFromGitHub { owner = "google"; repo = "fscrypt"; rev = "v${version}"; - sha256 = "15pwhz4267kwhkv532k6wgjqfzawawdrrk6vnl017ys5s9ln51a8"; + sha256 = "0h1ssw9x37hvks8rcnsjq4nsl6djmhx53iiwrw8fw4lf3nlmdzpx"; }; postPatch = '' substituteInPlace Makefile \ --replace 'TAG_VERSION := $(shell git describe --tags)' "" \ - --replace '$(shell date)' '$(shell date --date="@0")' \ --replace "/usr/local" "$out" ''; @@ -46,6 +45,7 @@ buildGoModule rec { directories. ''; inherit (src.meta) homepage; + changelog = "https://github.com/google/fscrypt/releases/tag/v${version}"; license = licenses.asl20; platforms = platforms.linux; maintainers = with maintainers; [ primeos ]; diff --git a/pkgs/os-specific/linux/kernel/linux-4.14.nix b/pkgs/os-specific/linux/kernel/linux-4.14.nix index 363f8eb9174..aa75c125da4 100644 --- a/pkgs/os-specific/linux/kernel/linux-4.14.nix +++ b/pkgs/os-specific/linux/kernel/linux-4.14.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "4.14.173"; + version = "4.14.174"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "0kxp3mgiags8hdax15masab9zr89xraqvl9ri7zwgksx8ixav0m2"; + sha256 = "12ai2lc2ny38s93d0m5ngrv030vwv1h2hhzp0fs6fhjxasikq8jc"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-4.19.nix b/pkgs/os-specific/linux/kernel/linux-4.19.nix index ae5da9fe92f..65f93a0f924 100644 --- a/pkgs/os-specific/linux/kernel/linux-4.19.nix +++ b/pkgs/os-specific/linux/kernel/linux-4.19.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "4.19.109"; + version = "4.19.113"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "0kwnlv5336vqdf38dzn077ic17zkb4rl5khxmc47syzd9zm4fhnh"; + sha256 = "1rf0jz7r1f4rb4k0g3glssfa1hm2ka6vlbwjlkmsx1bybxnmg85m"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-4.4.nix b/pkgs/os-specific/linux/kernel/linux-4.4.nix index 27fcb5020d7..69156f577f6 100644 --- a/pkgs/os-specific/linux/kernel/linux-4.4.nix +++ b/pkgs/os-specific/linux/kernel/linux-4.4.nix @@ -1,11 +1,11 @@ { stdenv, buildPackages, fetchurl, perl, buildLinux, ... } @ args: buildLinux (args // rec { - version = "4.4.216"; + version = "4.4.217"; extraMeta.branch = "4.4"; src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "1hjgh9brvxzi6ypgfnk07l3j28xsxgz88sdshnz19vj96bn1w70q"; + sha256 = "0vsjchywznmjn01flgvm9vsja5zqni319rfwgy997afcbz0c9spx"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-4.9.nix b/pkgs/os-specific/linux/kernel/linux-4.9.nix index 4d12bec7617..98d203e481f 100644 --- a/pkgs/os-specific/linux/kernel/linux-4.9.nix +++ b/pkgs/os-specific/linux/kernel/linux-4.9.nix @@ -1,11 +1,11 @@ { stdenv, buildPackages, fetchurl, perl, buildLinux, ... } @ args: buildLinux (args // rec { - version = "4.9.216"; + version = "4.9.217"; extraMeta.branch = "4.9"; src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "0lgv5k8v5xz9z2z4k42566bh0akyk1gr0dx6s1m1rjrzsf9k86l6"; + sha256 = "06b8av9f9pk2yp95nzv4322k0d5wsg40sxd9kfim1xzb093abckg"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-5.4.nix b/pkgs/os-specific/linux/kernel/linux-5.4.nix index 5773b171f74..2471962404e 100644 --- a/pkgs/os-specific/linux/kernel/linux-5.4.nix +++ b/pkgs/os-specific/linux/kernel/linux-5.4.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "5.4.25"; + version = "5.4.28"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v5.x/linux-${version}.tar.xz"; - sha256 = "09ay0adc3s3m7qk0nj5lkmrp5i0q76a9kax0xix8914d115rgvf0"; + sha256 = "197p7rjmbs229ncj1y8s80f7n4bm8g9w0jrv1109m3rl8q9wqqy8"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-5.5.nix b/pkgs/os-specific/linux/kernel/linux-5.5.nix index bcd67b0af42..aa15a42d2c4 100644 --- a/pkgs/os-specific/linux/kernel/linux-5.5.nix +++ b/pkgs/os-specific/linux/kernel/linux-5.5.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "5.5.9"; + version = "5.5.13"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v5.x/linux-${version}.tar.xz"; - sha256 = "0y58gkzadjwfqfry5568g4w4p2mpx2sw50sk95i07s5va1ly2dd4"; + sha256 = "1qjf18qywzrfdzwpgpf6m0w0bil8rbc9hby8473ckzvbl0a3cfqz"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-libre.nix b/pkgs/os-specific/linux/kernel/linux-libre.nix index e9872cf0761..c6e850c9907 100644 --- a/pkgs/os-specific/linux/kernel/linux-libre.nix +++ b/pkgs/os-specific/linux/kernel/linux-libre.nix @@ -1,8 +1,8 @@ { stdenv, lib, fetchsvn, linux , scripts ? fetchsvn { url = "https://www.fsfla.org/svn/fsfla/software/linux-libre/releases/branches/"; - rev = "17322"; - sha256 = "1hhi1gsfr08zj9d8mglbfk5wicfy1gqrh68vg90hxglp61dsx97x"; + rev = "17387"; + sha256 = "1a62n326xsbb8r8y610d4k698n3la22rnhlxa56c2zki2q5697h3"; } , ... }: diff --git a/pkgs/os-specific/linux/lxc/default.nix b/pkgs/os-specific/linux/lxc/default.nix index fff66fe328a..741d4ab1482 100644 --- a/pkgs/os-specific/linux/lxc/default.nix +++ b/pkgs/os-specific/linux/lxc/default.nix @@ -9,11 +9,11 @@ with stdenv.lib; stdenv.mkDerivation rec { pname = "lxc"; - version = "3.2.1"; + version = "4.0.0"; src = fetchurl { url = "https://linuxcontainers.org/downloads/lxc/lxc-${version}.tar.gz"; - sha256 = "1m633j5k700nsc3smca7fxqfhxhypxbamh18x9z60zdilj33k42z"; + sha256 = "1x63nbfsicqxckq62ga3k0g8fm4qgcpn45ianj6m7rvw4lnaxwxk"; }; nativeBuildInputs = [ diff --git a/pkgs/servers/http/openresty/default.nix b/pkgs/servers/http/openresty/default.nix index 55e813a2ce7..4b5b4259ca4 100644 --- a/pkgs/servers/http/openresty/default.nix +++ b/pkgs/servers/http/openresty/default.nix @@ -9,11 +9,11 @@ callPackage ../nginx/generic.nix args rec { pname = "openresty"; nginxVersion = "1.15.8"; - version = "${nginxVersion}.2"; + version = "${nginxVersion}.3"; src = fetchurl { url = "https://openresty.org/download/openresty-${version}.tar.gz"; - sha256 = "05jxrb8hv758nm38jil8n63q1nhrz3d249bsrwc7maa7sn24wss3"; + sha256 = "1a1la7vszv1parsnhphydblz64ffhycazncn3ividnvqg2mg735n"; }; fixPatch = patch: diff --git a/pkgs/servers/hydron/default.nix b/pkgs/servers/hydron/default.nix index 6ac37a98dee..145d341bb51 100644 --- a/pkgs/servers/hydron/default.nix +++ b/pkgs/servers/hydron/default.nix @@ -15,8 +15,10 @@ buildGoPackage { }; enableParallelBuilding = true; - nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ ffmpeg-full graphicsmagick quicktemplate go-bindata easyjson ]; + + nativeBuildInputs = [ pkgconfig go-bindata ]; + + buildInputs = [ ffmpeg-full graphicsmagick quicktemplate easyjson ]; meta = with stdenv.lib; { homepage = "https://github.com/bakape/hydron"; diff --git a/pkgs/servers/livepeer/default.nix b/pkgs/servers/livepeer/default.nix index 64edcb2ef4f..547a106a398 100644 --- a/pkgs/servers/livepeer/default.nix +++ b/pkgs/servers/livepeer/default.nix @@ -16,7 +16,9 @@ buildGoPackage rec { sha256 = "07vhw787wq5q4xm7zvswjdsmr20pwfa39wfkgamb7hkrffn3k2ia"; }; - buildInputs = [ pkgconfig ffmpeg ]; + nativeBuildInputs = [ pkgconfig ]; + + buildInputs = [ ffmpeg ]; enableParallelBuilding = true; diff --git a/pkgs/servers/matrix-synapse/default.nix b/pkgs/servers/matrix-synapse/default.nix index 8da5d4676d6..f0c8a0d4f81 100644 --- a/pkgs/servers/matrix-synapse/default.nix +++ b/pkgs/servers/matrix-synapse/default.nix @@ -23,11 +23,11 @@ let in buildPythonApplication rec { pname = "matrix-synapse"; - version = "1.11.1"; + version = "1.12.0"; src = fetchPypi { inherit pname version; - sha256 = "0xd4bxsmk67r6pfj5lh0hn36r8z51mxsl39fjfrfdidvl1qqbxnk"; + sha256 = "18wavnb47w4hfh8dc7g77bfhz03zh1xzl58mxlfi0000qsbkz680"; }; patches = [ diff --git a/pkgs/servers/meguca/default.nix b/pkgs/servers/meguca/default.nix index 47530ba9db6..3088ee190e7 100644 --- a/pkgs/servers/meguca/default.nix +++ b/pkgs/servers/meguca/default.nix @@ -17,10 +17,10 @@ buildGoPackage { }; enableParallelBuilding = true; - nativeBuildInputs = [ pkgconfig cmake ]; + nativeBuildInputs = [ pkgconfig cmake go-bindata ]; buildInputs = [ - ffmpeg-full graphicsmagick ghostscript quicktemplate go-bindata + ffmpeg-full graphicsmagick ghostscript quicktemplate easyjson emscripten opencv statik ]; diff --git a/pkgs/servers/memcached/default.nix b/pkgs/servers/memcached/default.nix index 98bd92c7531..0449144b07a 100644 --- a/pkgs/servers/memcached/default.nix +++ b/pkgs/servers/memcached/default.nix @@ -1,24 +1,14 @@ -{stdenv, fetchurl, fetchpatch, cyrus_sasl, libevent}: +{stdenv, fetchurl, cyrus_sasl, libevent}: stdenv.mkDerivation rec { - version = "1.5.22"; + version = "1.6.2"; pname = "memcached"; src = fetchurl { url = "https://memcached.org/files/${pname}-${version}.tar.gz"; - sha256 = "14qzbxgz40j4yhi3lzrsdjd6kyy3zwv9c8kw11kj6njp42fpxd62"; + sha256 = "12qn8m0jdvdckz53m2akrvixbrn64g5kv6r4hl5vx286qhc02wh6"; }; - patches = [ - # Fixes compilation error on Darwin due to redeclaration of - # htonll. The fix should appear in 1.5.23. - # https://github.com/memcached/memcached/issues/598 - (fetchpatch { - url = "https://github.com/memcached/memcached/commit/95c67710aaf5cfe188d94b510faef8c66d6f5604.diff"; - sha256 = "0ab5l24p4n4fpx78ilmg7jvs9nl84pdza90jbpbx3ns5n23pqbfs"; - }) - ]; - configureFlags = [ "ac_cv_c_endian=${if stdenv.hostPlatform.isBigEndian then "big" else "little"}" ]; @@ -32,7 +22,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A distributed memory object caching system"; repositories.git = https://github.com/memcached/memcached.git; - homepage = http://memcached.org/; + homepage = "http://memcached.org/"; license = licenses.bsd3; maintainers = [ maintainers.coconnor ]; platforms = platforms.linux ++ platforms.darwin; diff --git a/pkgs/servers/meteor/default.nix b/pkgs/servers/meteor/default.nix index c2f0ffa59b9..b6abec241a5 100644 --- a/pkgs/servers/meteor/default.nix +++ b/pkgs/servers/meteor/default.nix @@ -1,7 +1,7 @@ { stdenv, lib, fetchurl, zlib, patchelf, runtimeShell }: let - version = "1.8.2"; + version = "1.9.3"; in stdenv.mkDerivation { @@ -9,7 +9,7 @@ stdenv.mkDerivation { pname = "meteor"; src = fetchurl { url = "https://static-meteor.netdna-ssl.com/packages-bootstrap/${version}/meteor-bootstrap-os.linux.x86_64.tar.gz"; - sha256 = "1pydmwx1yjbw54qfq7ndw2l3i3v302bvasvf71x4y9572r2p99mp"; + sha256 = "1njp2db939w3ah5k943bkgm62k969fj47qwmlzvhmmg87xwnq3fb"; }; #dontStrip = true; @@ -82,7 +82,7 @@ stdenv.mkDerivation { meta = with lib; { description = "Complete open source platform for building web and mobile apps in pure JavaScript"; - homepage = http://www.meteor.com; + homepage = "http://www.meteor.com"; license = licenses.mit; platforms = [ "x86_64-linux" ]; maintainers = with maintainers; [ cstrahan ]; diff --git a/pkgs/servers/monitoring/prometheus/wireguard-exporter.nix b/pkgs/servers/monitoring/prometheus/wireguard-exporter.nix index 1a7a2ebdf21..b4626b9e8f8 100644 --- a/pkgs/servers/monitoring/prometheus/wireguard-exporter.nix +++ b/pkgs/servers/monitoring/prometheus/wireguard-exporter.nix @@ -2,25 +2,19 @@ rustPlatform.buildRustPackage rec { pname = "wireguard-exporter"; - version = "3.2.2"; + version = "3.2.4"; src = fetchFromGitHub { owner = "MindFlavor"; repo = "prometheus_wireguard_exporter"; rev = version; - sha256 = "18khym7ygj29w98zf6i1l5c2pz84zla2z34l5jnh595xvwfl94pc"; + sha256 = "15his6mv3vmzfg972fb8m01h2m3jxmaqz3zw2krfr136mvg2rvjw"; }; - cargoSha256 = "0m7xa610k260gxn2xg6bc2y6fww0p72mvvik7278j2d15044c4yl"; + cargoSha256 = "0ajkpshjv0im6falgjrsc2jdbvm2rhibl4v8rcmb2fg3kx7xc8vf"; buildInputs = lib.optional stdenv.isDarwin Security; - # Commonly used hack in nixpkgs to allow unstable features on a stable rustc. This is needed - # since `prometheus_exporter_base` uses `#!feature[]` to enable async which - # is actually not needed as `async` is part of rustc 1.39.0-stable. This can be removed - # as soon as https://github.com/MindFlavor/prometheus_exporter_base/pull/15 is merged. - RUSTC_BOOTSTRAP = 1; - meta = with lib; { description = "A Prometheus exporter for WireGuard, written in Rust."; homepage = "https://github.com/MindFlavor/prometheus_wireguard_exporter"; diff --git a/pkgs/servers/nextcloud/default.nix b/pkgs/servers/nextcloud/default.nix index 9b23e9ac501..008d6bbde39 100644 --- a/pkgs/servers/nextcloud/default.nix +++ b/pkgs/servers/nextcloud/default.nix @@ -1,24 +1,37 @@ { stdenv, fetchurl }: -stdenv.mkDerivation rec { - pname = "nextcloud"; - version = "18.0.2"; +let + generic = { version, sha256, insecure ? false }: stdenv.mkDerivation rec { + pname = "nextcloud"; + inherit version; - src = fetchurl { - url = "https://download.nextcloud.com/server/releases/${pname}-${version}.tar.bz2"; - sha256 = "10fbdq0366iai2kpw6v6p78mnn9gz8x0xzsbqrp109yx4c4nccyh"; - }; + src = fetchurl { + url = "https://download.nextcloud.com/server/releases/${pname}-${version}.tar.bz2"; + inherit sha256; + }; + + installPhase = '' + mkdir -p $out/ + cp -R . $out/ + ''; - installPhase = '' - mkdir -p $out/ - cp -R . $out/ - ''; + meta = with stdenv.lib; { + description = "Sharing solution for files, calendars, contacts and more"; + homepage = https://nextcloud.com; + maintainers = with maintainers; [ schneefux bachp globin fpletz ma27 ]; + license = licenses.agpl3Plus; + platforms = with platforms; unix; + knownVulnerabilities = optional insecure "Nextcloud version ${version} is EOL"; + }; + }; +in { + nextcloud17 = generic { + version = "17.0.4"; + sha256 = "0cj5mng0nmj3hz30pyz3g19kj3mkm5ca8si3sw3arv61dmw6c5g6"; + }; - meta = { - description = "Sharing solution for files, calendars, contacts and more"; - homepage = https://nextcloud.com; - maintainers = with stdenv.lib.maintainers; [ schneefux bachp globin fpletz ]; - license = stdenv.lib.licenses.agpl3Plus; - platforms = with stdenv.lib.platforms; unix; + nextcloud18 = generic { + version = "18.0.2"; + sha256 = "10fbdq0366iai2kpw6v6p78mnn9gz8x0xzsbqrp109yx4c4nccyh"; }; } diff --git a/pkgs/servers/radicale/1.x.nix b/pkgs/servers/radicale/1.x.nix index bfc681c921b..83fa2506800 100644 --- a/pkgs/servers/radicale/1.x.nix +++ b/pkgs/servers/radicale/1.x.nix @@ -29,6 +29,6 @@ pythonPackages.buildPythonApplication rec { ''; license = licenses.gpl3Plus; platforms = platforms.all; - maintainers = with maintainers; [ edwtjo pSub aneeshusa ]; + maintainers = with maintainers; [ edwtjo pSub ]; }; } diff --git a/pkgs/servers/rainloop/default.nix b/pkgs/servers/rainloop/default.nix index ff6cb8c98eb..6d5016961e9 100644 --- a/pkgs/servers/rainloop/default.nix +++ b/pkgs/servers/rainloop/default.nix @@ -2,7 +2,7 @@ common = { edition, sha256 }: stdenv.mkDerivation (rec { pname = "rainloop${stdenv.lib.optionalString (edition != "") "-${edition}"}"; - version = "1.13.0"; + version = "1.14.0"; buildInputs = [ unzip ]; @@ -35,10 +35,10 @@ in { rainloop-community = common { edition = "community"; - sha256 = "1skwq6bn98142xf8r77b818fy00nb4x0s1ii3mw5849ih94spx40"; + sha256 = "0a8qafm4khwj8cnaiaxvjb9073w6fr63vk1b89nks4hmfv10jn6y"; }; rainloop-standard = common { edition = ""; - sha256 = "e3ec8209cb3b9f092938a89094e645ef27659763432bedbe7fad4fa650554222"; + sha256 = "0961g4mci080f7y98zx9r4qw620l4z3na1ivvlyhhr1v4dywqvch"; }; } diff --git a/pkgs/servers/samba/4.x.nix b/pkgs/servers/samba/4.x.nix index 27139ed846c..d24a9b9748a 100644 --- a/pkgs/servers/samba/4.x.nix +++ b/pkgs/servers/samba/4.x.nix @@ -1,8 +1,8 @@ -{ lib, stdenv, fetchurl, fetchpatch, python, pkgconfig, perl, libxslt, docbook_xsl, rpcgen +{ lib, stdenv, fetchurl, python, pkgconfig, perl, libxslt, docbook_xsl, rpcgen , fixDarwinDylibNames , docbook_xml_dtd_42, readline , popt, iniparser, libbsd, libarchive, libiconv, gettext -, krb5Full, zlib, openldap, cups, pam, avahi, acl, libaio, fam, libceph, glusterfs +, krb5Full, zlib, openldap, cups, pam, avahi, acl, libaio, liburing, fam, libceph, glusterfs , gnutls, ncurses, libunwind, systemd, jansson, lmdb, gpgme, libuuid , enableLDAP ? false @@ -20,11 +20,11 @@ with lib; stdenv.mkDerivation rec { pname = "samba"; - version = "4.11.5"; + version = "4.12.0"; src = fetchurl { url = "mirror://samba/pub/samba/stable/${pname}-${version}.tar.gz"; - sha256 = "0gyr773dl0krcra6pvyp8i9adj3r16ihrrm2b71c0974cbzrkqpk"; + sha256 = "1zk5jqnkifkfi6ssn02bh2ih7vyw2nsr0angsd6kyg3xaq5bgh3f"; }; outputs = [ "out" "dev" "man" ]; @@ -34,20 +34,15 @@ stdenv.mkDerivation rec { ./patch-source3__libads__kerberos_keytab.c.patch ./4.x-no-persistent-install-dynconfig.patch ./4.x-fix-makeflags-parsing.patch - (fetchpatch { - name = "test-oLschema2ldif-fmemopen.patch"; - url = "https://gitlab.com/samba-team/samba/commit/5e517e57c9d4d35e1042a49d3592652b05f0c45b.patch"; - sha256 = "1bbldf794svsdvcbp649imghmj0jck7545d3k9xs953qkkgwkbxi"; - }) ]; - nativeBuildInputs = optionals stdenv.isDarwin [ rpcgen fixDarwinDylibNames ]; + nativeBuildInputs = [ pkgconfig perl perl.pkgs.ParseYapp libxslt docbook_xsl docbook_xml_dtd_42 ] + ++ optionals stdenv.isDarwin [ rpcgen fixDarwinDylibNames ]; buildInputs = [ - python pkgconfig perl libxslt docbook_xsl docbook_xml_dtd_42 /* - docbook_xml_dtd_45 */ readline popt iniparser jansson + python readline popt iniparser jansson libbsd libarchive zlib fam libiconv gettext libunwind krb5Full gnutls - ] ++ optionals stdenv.isLinux [ libaio systemd ] + ] ++ optionals stdenv.isLinux [ libaio liburing systemd ] ++ optional enableLDAP openldap ++ optional (enablePrinting && stdenv.isLinux) cups ++ optional enableMDNS avahi diff --git a/pkgs/servers/tailscale/default.nix b/pkgs/servers/tailscale/default.nix index 2fc08e754b1..e4cc0889c31 100644 --- a/pkgs/servers/tailscale/default.nix +++ b/pkgs/servers/tailscale/default.nix @@ -2,12 +2,12 @@ buildGoModule rec { pname = "tailscale"; - version = "0.97-0"; + version = "0.97"; src = fetchFromGitHub { owner = "tailscale"; repo = "tailscale"; - rev = "dd14b658a2f42a3b4d78682e4f4f82f730262c5c"; + rev = "v${version}"; sha256 = "0ckjqhj99c25h8xgyfkrd19nw5w4a7972nvba9r5faw5micjs02n"; }; diff --git a/pkgs/servers/traefik/default.nix b/pkgs/servers/traefik/default.nix index 1707eb403b7..f3c97e8adcc 100644 --- a/pkgs/servers/traefik/default.nix +++ b/pkgs/servers/traefik/default.nix @@ -13,7 +13,7 @@ buildGoPackage rec { sha256 = "1j3p09j8rpdkp8v4d4mz224ddakkvhzchvccm9qryrqc2fq4022v"; }; - buildInputs = [ go-bindata bash ]; + nativeBuildInputs = [ go-bindata bash ]; buildPhase = '' runHook preBuild diff --git a/pkgs/shells/zsh/zsh-powerlevel10k/default.nix b/pkgs/shells/zsh/zsh-powerlevel10k/default.nix index 777ec6e63c1..7fac0fb8ab8 100644 --- a/pkgs/shells/zsh/zsh-powerlevel10k/default.nix +++ b/pkgs/shells/zsh/zsh-powerlevel10k/default.nix @@ -5,13 +5,13 @@ stdenv.mkDerivation rec { pname = "powerlevel10k"; - version = "1.4.0"; + version = "1.5.0"; src = fetchFromGitHub { owner = "romkatv"; repo = "powerlevel10k"; rev = "v${version}"; - sha256 = "03v8qlblgdazbm16gwr87blm5nxizza61f8w6hjyhgrx51ly9ln5"; + sha256 = "0r8vccgfy85ryswaigzgwmvhvrhlap7nrg7bi66w63877znqlksj"; }; patches = [ diff --git a/pkgs/tools/admin/azure-cli/default.nix b/pkgs/tools/admin/azure-cli/default.nix index 69e0a2afe6e..13fdf6dcd0d 100644 --- a/pkgs/tools/admin/azure-cli/default.nix +++ b/pkgs/tools/admin/azure-cli/default.nix @@ -1,12 +1,12 @@ { stdenv, lib, python, fetchFromGitHub, installShellFiles }: let - version = "2.1.0"; + version = "2.2.0"; src = fetchFromGitHub { owner = "Azure"; repo = "azure-cli"; rev = "azure-cli-${version}"; - sha256 = "0f4wrqp9f4n4mk73ybx08ybvmxx88r6g5cvx8ld6ybhl2w8bbn9v"; + sha256 = "0bqkvx1gp4bhpjn5nrjc08lq8wldl1icrz6q1llaxgvqqmc8hcgp"; }; # put packages that needs to be overriden in the py package scope diff --git a/pkgs/tools/admin/azure-cli/python-packages.nix b/pkgs/tools/admin/azure-cli/python-packages.nix index 7b43ba209c2..cbec7e86a63 100644 --- a/pkgs/tools/admin/azure-cli/python-packages.nix +++ b/pkgs/tools/admin/azure-cli/python-packages.nix @@ -119,8 +119,8 @@ let azure-mgmt-policyinsights = overrideAzureMgmtPackage super.azure-mgmt-policyinsights "0.4.0" "zip" "1b69rz9wm0jvc54vx3b7h633x8gags51xwxrkp6myar40jggxw6g"; - azure-mgmt-rdbms = overrideAzureMgmtPackage super.azure-mgmt-rdbms "1.9.0" "zip" - "0v91hl936wp9sl3bc31svf6kdxwa57qh6ih9rrv43dnb2000km6r"; + azure-mgmt-rdbms = overrideAzureMgmtPackage super.azure-mgmt-rdbms "2.0.0" "zip" + "19z0lpq6bpidlflwa263y51549xgcg4m040k872m7fmy7jm2xcbb"; azure-mgmt-recoveryservicesbackup = overrideAzureMgmtPackage super.azure-mgmt-recoveryservicesbackup "0.6.0" "zip" "13s2k4jl8570bj6jkqzm0w29z29rl7h5i7czd3kr6vqar5wj9xjd"; @@ -131,8 +131,11 @@ let azure-mgmt-appconfiguration = overrideAzureMgmtPackage super.azure-mgmt-appconfiguration "0.4.0" "zip" "1dn5585nsizszjivx6lp677ka0mrg0ayqgag4yzfdz9ml8mj1xl5"; - azure-mgmt-compute = overrideAzureMgmtPackage super.azure-mgmt-compute "10.0.0" "zip" - "1s3bx6knxw5dxycp43yimvgrh0i19drzd09asglcwz2x5mr3bpyg"; + azure-mgmt-cognitiveservices = overrideAzureMgmtPackage super.azure-mgmt-cognitiveservices "5.0.0" "zip" + "1m7v3rfkvmdgghrpz15fm8pvmmhi40lcwfxdm2kxh7mx01r5l906"; + + azure-mgmt-compute = overrideAzureMgmtPackage super.azure-mgmt-compute "11.0.0" "zip" + "1dnlql4z9wawf8gc1v4rr386pifwcnx3ycr4gdccqwkgimgpsdg4"; azure-mgmt-consumption = overrideAzureMgmtPackage super.azure-mgmt-consumption "2.0.0" "zip" "12ai4qps73ivawh0yzvgb148ksx02r30pqlvfihx497j62gsi1cs"; @@ -140,8 +143,8 @@ let azure-mgmt-containerservice = overrideAzureMgmtPackage super.azure-mgmt-containerservice "8.1.0" "zip" "07vpzhvi2946v5dn9cb2hkd1b9vj5c6zl32958bg2bxsjg9vvyi1"; - azure-mgmt-cosmosdb = overrideAzureMgmtPackage super.azure-mgmt-cosmosdb "0.11.0" "zip" - "05j0s2ng6ck35lw85cbjf5cm6canc71c41aagr68cmiqj1li6v1z"; + azure-mgmt-cosmosdb = overrideAzureMgmtPackage super.azure-mgmt-cosmosdb "0.12.0" "zip" + "07c0hr7nha9789x1wz0ndca0sr0zscq63m9vd8pm1c6y0ss4iyn5"; azure-mgmt-deploymentmanager = overrideAzureMgmtPackage super.azure-mgmt-deploymentmanager "0.2.0" "zip" "0c6pyr36n9snx879vas5r6l25db6nlp2z96xn759mz4kg4i45qs6"; @@ -188,8 +191,8 @@ let azure-mgmt-security = overrideAzureMgmtPackage super.azure-mgmt-security "0.1.0" "zip" "1cb466722bs0ribrirb32kc299716pl0pwivz3jyn40dd78cwhhx"; - azure-mgmt-sql = overrideAzureMgmtPackage super.azure-mgmt-sql "0.15.0" "zip" - "0qv58xraznv2ldhd34cvznhz045x3ncfgam9c12gxyj4q0k3pyc9"; + azure-mgmt-sql = overrideAzureMgmtPackage super.azure-mgmt-sql "0.17.0" "zip" + "1kp1wzcydgyc2mzkxigfv6rqzwzf3d0cnbqc6w7h907qbb4lw2r0"; azure-mgmt-sqlvirtualmachine = overrideAzureMgmtPackage super.azure-mgmt-sqlvirtualmachine "0.5.0" "zip" "1b9am8raa17hxnz7d5pk2ix0309wsnhnchq1mi22icd728sl5adm"; @@ -206,11 +209,11 @@ let azure-mgmt-keyvault = overrideAzureMgmtPackage super.azure-mgmt-keyvault "2.1.0" "zip" "1ikv8b2h1r91fa0srz95ymn54qpqgb5a4faxwp4hf92r5h85c8j9"; - azure-mgmt-cdn = overrideAzureMgmtPackage super.azure-mgmt-cdn "4.0.0" "zip" - "0aphqh4mvrc1yiyis8zvks0d19d1m3lqylr9jc8fj73iw84rwgm5"; + azure-mgmt-cdn = overrideAzureMgmtPackage super.azure-mgmt-cdn "4.1.0rc1" "zip" + "00q5723gvc57kg2w1iyhfchp018skwd89ibrw23p7ngm2bb76g45"; - azure-mgmt-containerregistry = overrideAzureMgmtPackage super.azure-mgmt-containerregistry "3.0.0rc8" "zip" - "1j2xyfid0qg95lywwsz8520r7gd8m0a487n03jxnckr91vd890v1"; + azure-mgmt-containerregistry = overrideAzureMgmtPackage super.azure-mgmt-containerregistry "3.0.0rc9" "zip" + "060m4hqi1h5h53imj0vmpbzhqkwis7h91nwinpcvjs422figcv0i"; azure-mgmt-monitor = overrideAzureMgmtPackage super.azure-mgmt-monitor "0.7.0" "zip" "1pprvk5255b6brbw73g0g13zygwa7a2px5x08wy3153rqlzan5l2"; @@ -224,8 +227,8 @@ let azure-mgmt-authorization = overrideAzureMgmtPackage super.azure-mgmt-authorization "0.52.0" "zip" "0357laxgldb7lvvws81r8xb6mrq9dwwnr1bnwdnyj4bw6p21i9hn"; - azure-mgmt-storage = overrideAzureMgmtPackage super.azure-mgmt-storage "7.2.0" "zip" - "01ck1ankgr9ikvfghhdcs777yrl2j2p8cw9q8nfdrjp22lpchabl"; + azure-mgmt-storage = overrideAzureMgmtPackage super.azure-mgmt-storage "8.0.0" "zip" + "0cxcdyy974ya1yi7s14sw54rwpc8qjngxr0jqb8vxki3528phrv3"; azure-mgmt-servicefabric = overrideAzureMgmtPackage super.azure-mgmt-servicefabric "0.4.0" "zip" "1x18grkjf2p2r1ihlwv607sna9yjvsr2jwnkjc55askrgrwx5jx2"; diff --git a/pkgs/tools/admin/lxd/default.nix b/pkgs/tools/admin/lxd/default.nix index c7c2881a567..efd6db395f2 100644 --- a/pkgs/tools/admin/lxd/default.nix +++ b/pkgs/tools/admin/lxd/default.nix @@ -9,13 +9,13 @@ buildGoPackage rec { pname = "lxd"; - version = "3.22"; + version = "3.23"; goPackagePath = "github.com/lxc/lxd"; src = fetchurl { url = "https://github.com/lxc/lxd/releases/download/${pname}-${version}/${pname}-${version}.tar.gz"; - sha256 = "1j60xajcycqnnkasbghcvx3dvb5iadvvq2l3hh9i0sw3dk1wx4hn"; + sha256 = "1zbm736m9g4c40r55s6rg3xrgw9bysslr992sa80vlrxfmwfiqg4"; }; preBuild = '' diff --git a/pkgs/tools/admin/ssh-import-id/default.nix b/pkgs/tools/admin/ssh-import-id/default.nix new file mode 100644 index 00000000000..77d30e56d5f --- /dev/null +++ b/pkgs/tools/admin/ssh-import-id/default.nix @@ -0,0 +1,36 @@ +{ buildPythonPackage +, stdenv +, fetchgit +, requests +, makeWrapper +, extraHandlers ? [] +}: + +buildPythonPackage rec { + pname = "ssh-import-id"; + version = "5.8"; + + src = fetchgit { + url = "https://git.launchpad.net/ssh-import-id"; + rev = version; + sha256 = "0l9gya1hyf2qfidlmvg2cgfils1fp9rn5r8sihwvx4qfsfp5yaak"; + }; + + propagatedBuildInputs = [ + requests + ] ++ extraHandlers; + + nativeBuildInputs = [ + makeWrapper + ]; + + # handlers require main bin, main bin requires handlers + makeWrapperArgs = [ "--prefix" ":" "$out/bin" ]; + + meta = with stdenv.lib; { + description = "Retrieves an SSH public key and installs it locally"; + license = licenses.gpl3; + maintainers = with maintainers; [ mkg20001 ]; + platforms = platforms.unix; + }; +} diff --git a/pkgs/tools/audio/volctl/default.nix b/pkgs/tools/audio/volctl/default.nix index 7b4cdd6b531..dd58671824d 100644 --- a/pkgs/tools/audio/volctl/default.nix +++ b/pkgs/tools/audio/volctl/default.nix @@ -2,13 +2,13 @@ pythonPackages.buildPythonApplication rec { pname = "volctl"; - version = "0.6.2"; + version = "0.6.3"; src = fetchFromGitHub { owner = "buzz"; repo = pname; rev = version; - sha256 = "1bqq5mrpi7qxzl37z6fj67pqappjmwhi8d8db95j3lmf16svm2xk"; + sha256 = "0rppqc5wiqxd83z2mgvhi6gdx7yhy9wnav1dbbi1wvm7lzw6fnil"; }; nativeBuildInputs = [ @@ -28,14 +28,6 @@ pythonPackages.buildPythonApplication rec { strictDeps = false; - postPatch = '' - # The user can set a mixer application in the preferences. The - # default is pavucontrol. Do not hard code its path and hope it - # can be found in $PATH. - - substituteInPlace volctl/app.py --replace /usr/bin/pavucontrol pavucontrol - ''; - preBuild = '' export LD_LIBRARY_PATH=${libpulseaudio}/lib ''; @@ -50,7 +42,7 @@ pythonPackages.buildPythonApplication rec { meta = with stdenv.lib; { description = "PulseAudio enabled volume control featuring per-app sliders"; - homepage = https://buzz.github.io/volctl/; + homepage = "https://buzz.github.io/volctl/"; license = licenses.gpl2; platforms = platforms.linux; maintainers = [ maintainers.romildo ]; diff --git a/pkgs/tools/backup/dar/default.nix b/pkgs/tools/backup/dar/default.nix index c105c8086c4..a439bbf41b1 100644 --- a/pkgs/tools/backup/dar/default.nix +++ b/pkgs/tools/backup/dar/default.nix @@ -3,12 +3,12 @@ with stdenv.lib; stdenv.mkDerivation rec { - version = "2.6.8"; + version = "2.6.9"; pname = "dar"; src = fetchurl { url = "mirror://sourceforge/dar/${pname}-${version}.tar.gz"; - sha256 = "05mw6m054jklnxkxgf9fh4hx8ik1d8c18rfg3i55bnddk0vr8ra3"; + sha256 = "1jzqq54w1dix2qdlj4hr9dpq9fnp23h102bk8d2gq6k7n2zgaj6v"; }; buildInputs = [ zlib bzip2 openssl lzo libgcrypt gpgme xz ] @@ -31,7 +31,7 @@ stdenv.mkDerivation rec { hardeningDisable = [ "format" ]; meta = { - homepage = http://dar.linux.free.fr; + homepage = "http://dar.linux.free.fr"; description = "Disk ARchiver, allows backing up files into indexed archives"; license = licenses.gpl2; platforms = platforms.unix; diff --git a/pkgs/tools/backup/wal-g/default.nix b/pkgs/tools/backup/wal-g/default.nix index 90ce2d188d6..e5ed04bb4a1 100644 --- a/pkgs/tools/backup/wal-g/default.nix +++ b/pkgs/tools/backup/wal-g/default.nix @@ -1,30 +1,28 @@ -{ stdenv, buildGoPackage, fetchFromGitHub, brotli }: +{ stdenv, buildGoModule, fetchFromGitHub, brotli, Security }: -buildGoPackage rec { +buildGoModule rec { pname = "wal-g"; - version = "0.2.14"; + version = "0.2.15"; src = fetchFromGitHub { - owner = "wal-g"; - repo = "wal-g"; - rev = "v${version}"; - sha256 = "0rrn9kzcg3nw9qvzy58m4qacghv0pj7iyjh4yspc71n5nkamkfgm"; + owner = "wal-g"; + repo = "wal-g"; + rev = "v${version}"; + sha256 = "1hslhs9i4wib6c74gdq9yail958ff1y11pymjww2xr84wkwd9v7i"; }; - buildInputs = [ brotli ]; + modSha256 = "0kwl5gwc5gc0cq2gldg13nvswp9wd90xiv1qb3g8yxcczywkpmrm"; - doCheck = true; - - goPackagePath = "github.com/wal-g/wal-g"; + buildInputs = [ brotli ] ++ stdenv.lib.optionals stdenv.isDarwin [ Security ]; - goDeps = ./deps.nix; + doCheck = true; subPackages = [ "main/pg" ]; - buildFlagsArray = [ "-ldflags=-s -w -X ${goPackagePath}/cmd/pg.WalgVersion=${version} -X ${goPackagePath}/cmd/pg.GitRevision=${src.rev}" ]; + buildFlagsArray = [ "-ldflags=-s -w -X github.com/wal-g/wal-g/cmd/pg.WalgVersion=${version} -X github.com/wal-g/wal-g/cmd/pg.GitRevision=${src.rev}" ]; postInstall = '' - mv $bin/bin/pg $bin/bin/wal-g + mv $out/bin/pg $out/bin/wal-g ''; meta = { diff --git a/pkgs/tools/backup/wal-g/deps.nix b/pkgs/tools/backup/wal-g/deps.nix deleted file mode 100644 index 13daf375c31..00000000000 --- a/pkgs/tools/backup/wal-g/deps.nix +++ /dev/null @@ -1,588 +0,0 @@ -# file generated from Gopkg.lock using dep2nix (https://github.com/nixcloud/dep2nix) -[ - { - goPackagePath = "cloud.google.com/go"; - fetch = { - type = "git"; - url = "https://code.googlesource.com/gocloud"; - rev = "0ebda48a7f143b1cce9eb37a8c1106ac762a3430"; - sha256 = "1kclgclwar3r37zbvb9gg3qxbgzkb50zk3s9778zlh2773qikmai"; - }; - } - { - goPackagePath = "github.com/Azure/azure-pipeline-go"; - fetch = { - type = "git"; - url = "https://github.com/Azure/azure-pipeline-go"; - rev = "232aee85e8e3a6223a11c0943f7df2ae0fac00e4"; - sha256 = "1agn2nzmm1dkwggm4w7h4bnrav4n5jrl0vqbqy2s49vqlr8zirn6"; - }; - } - { - goPackagePath = "github.com/Azure/azure-storage-blob-go"; - fetch = { - type = "git"; - url = "https://github.com/Azure/azure-storage-blob-go"; - rev = "fc700035fe4a7020f50d49f420b3c088aed57e03"; - sha256 = "00gsnk9s1rlrakqvcm917hn4r47jannxwp7rkhrb71pamzm46752"; - }; - } - { - goPackagePath = "github.com/BurntSushi/toml"; - fetch = { - type = "git"; - url = "https://github.com/BurntSushi/toml"; - rev = "3012a1dbe2e4bd1391d42b32f0577cb7bbc7f005"; - sha256 = "1fjdwwfzyzllgiwydknf1pwjvy49qxfsczqx5gz3y0izs7as99j6"; - }; - } - { - goPackagePath = "github.com/DataDog/zstd"; - fetch = { - type = "git"; - url = "https://github.com/DataDog/zstd"; - rev = "aebefd9fcb99f22cd691ef778a12ed68f0e6a1ab"; - sha256 = "06wphl43ji23c0cmmm6fd3wszbwq36mdp1jarak2a6hmxl6yf0b8"; - }; - } - { - goPackagePath = "github.com/RoaringBitmap/roaring"; - fetch = { - type = "git"; - url = "https://github.com/RoaringBitmap/roaring"; - rev = "3d677d3262197ee558b85029301eb69b8239f91a"; - sha256 = "0v5jbqr7m4x7n8rxcyizhs21ndyinn8kil9hd6y2bifx9b9g6gv9"; - }; - } - { - goPackagePath = "github.com/aws/aws-sdk-go"; - fetch = { - type = "git"; - url = "https://github.com/aws/aws-sdk-go"; - rev = "02973e4916ed10f78aff1257f45f01d23907a05d"; - sha256 = "0w5c06l0f8h77p74gmsp2wzl21pcn92yzsycd36746qqav83yyld"; - }; - } - { - goPackagePath = "github.com/cyberdelia/lzo"; - fetch = { - type = "git"; - url = "https://github.com/cyberdelia/lzo"; - rev = "feb520148d8940294afb1e242dc1d2a7c9c34432"; - sha256 = "0mk93b7vr9hp1xcvzaw5r01zs2blhk24m22pacf6sx5zwx8fd2ld"; - }; - } - { - goPackagePath = "github.com/davecgh/go-spew"; - fetch = { - type = "git"; - url = "https://github.com/davecgh/go-spew"; - rev = "8991bc29aa16c548c550c7ff78260e27b9ab7c73"; - sha256 = "0hka6hmyvp701adzag2g26cxdj47g21x6jz4sc6jjz1mn59d474y"; - }; - } - { - goPackagePath = "github.com/fsnotify/fsnotify"; - fetch = { - type = "git"; - url = "https://github.com/fsnotify/fsnotify"; - rev = "c2828203cd70a50dcccfb2761f8b1f8ceef9a8e9"; - sha256 = "07va9crci0ijlivbb7q57d2rz9h27zgn2fsm60spjsqpdbvyrx4g"; - }; - } - { - goPackagePath = "github.com/glycerine/go-unsnap-stream"; - fetch = { - type = "git"; - url = "https://github.com/glycerine/go-unsnap-stream"; - rev = "9f0cb55181dd3a0a4c168d3dbc72d4aca4853126"; - sha256 = "1v10z30y9qc8dl34x0s8lr773g6raxilfdxbmzd1176pspzcmm9n"; - }; - } - { - goPackagePath = "github.com/go-redis/redis"; - fetch = { - type = "git"; - url = "https://github.com/go-redis/redis"; - rev = "75795aa4236dc7341eefac3bbe945e68c99ef9df"; - sha256 = "1qf7zl5slnc4bcz0z0vn4fq1pwkphdrmqmjg3wv5q6pv2x29drli"; - }; - } - { - goPackagePath = "github.com/go-sql-driver/mysql"; - fetch = { - type = "git"; - url = "https://github.com/go-sql-driver/mysql"; - rev = "72cd26f257d44c1114970e19afddcd812016007e"; - sha256 = "1fvsvwc1v2i0gqn01mynvi1shp5xm0xaym6xng09fcbqb56lbjx1"; - }; - } - { - goPackagePath = "github.com/golang/mock"; - fetch = { - type = "git"; - url = "https://github.com/golang/mock"; - rev = "51421b967af1f557f93a59e0057aaf15ca02e29c"; - sha256 = "12ddj2g8ab87id6n2n67vnbhq6p8dvgsq1pzpqfriym4dk8w54fg"; - }; - } - { - goPackagePath = "github.com/golang/protobuf"; - fetch = { - type = "git"; - url = "https://github.com/golang/protobuf"; - rev = "6c65a5562fc06764971b7c5d05c76c75e84bdbf7"; - sha256 = "1k1wb4zr0qbwgpvz9q5ws9zhlal8hq7dmq62pwxxriksayl6hzym"; - }; - } - { - goPackagePath = "github.com/golang/snappy"; - fetch = { - type = "git"; - url = "https://github.com/golang/snappy"; - rev = "2e65f85255dbc3072edf28d6b5b8efc472979f5a"; - sha256 = "05w6mpc4qcy0pv8a2bzng8nf4s5rf5phfang4jwy9rgf808q0nxf"; - }; - } - { - goPackagePath = "github.com/google/brotli"; - fetch = { - type = "git"; - url = "https://github.com/google/brotli"; - rev = "d6d98957ca8ccb1ef45922e978bb10efca0ea541"; - sha256 = "0n0ia2sxsj3fnnzhjbigbadpsqxivjs3v78b1xzqvgd1nwalglaj"; - }; - } - { - goPackagePath = "github.com/googleapis/gax-go"; - fetch = { - type = "git"; - url = "https://github.com/googleapis/gax-go"; - rev = "bd5b16380fd03dc758d11cef74ba2e3bc8b0e8c2"; - sha256 = "1lxawwngv6miaqd25s3ba0didfzylbwisd2nz7r4gmbmin6jsjrx"; - }; - } - { - goPackagePath = "github.com/hashicorp/golang-lru"; - fetch = { - type = "git"; - url = "https://github.com/hashicorp/golang-lru"; - rev = "7f827b33c0f158ec5dfbba01bb0b14a4541fd81d"; - sha256 = "1p2igd58xkm8yaj2c2wxiplkf2hj6kxwrg6ss7mx61s5rd71v5xb"; - }; - } - { - goPackagePath = "github.com/hashicorp/hcl"; - fetch = { - type = "git"; - url = "https://github.com/hashicorp/hcl"; - rev = "8cb6e5b959231cc1119e43259c4a608f9c51a241"; - sha256 = "0q6ml0qqs0yil76mpn4mdx4lp94id8vbv575qm60jzl1ijcl5i66"; - }; - } - { - goPackagePath = "github.com/inconshreveable/mousetrap"; - fetch = { - type = "git"; - url = "https://github.com/inconshreveable/mousetrap"; - rev = "76626ae9c91c4f2a10f34cad8ce83ea42c93bb75"; - sha256 = "1mn0kg48xkd74brf48qf5hzp0bc6g8cf5a77w895rl3qnlpfw152"; - }; - } - { - goPackagePath = "github.com/jackc/pgx"; - fetch = { - type = "git"; - url = "https://github.com/jackc/pgx"; - rev = "c73e7d75061bb42b0282945710f344cfe1113d10"; - sha256 = "1am1iggch89mn4a99bxnawjhc5yrgd8fjlmzq0b9l9qy5w1gzr1f"; - }; - } - { - goPackagePath = "github.com/jedib0t/go-pretty"; - fetch = { - type = "git"; - url = "https://github.com/jedib0t/go-pretty"; - rev = "a37e1e030434d93557ca8a6a77b4cf9d31cc21ff"; - sha256 = "057xwrrqq0cvyq9f0wnfzjpx10807520vl92qq7l7a7s0r56jyrx"; - }; - } - { - goPackagePath = "github.com/jmespath/go-jmespath"; - fetch = { - type = "git"; - url = "https://github.com/jmespath/go-jmespath"; - rev = "c2b33e84"; - sha256 = "1r6w7ydx8ydryxk3sfhzsk8m6f1nsik9jg3i1zhi69v4kfl4d5cz"; - }; - } - { - goPackagePath = "github.com/magiconair/properties"; - fetch = { - type = "git"; - url = "https://github.com/magiconair/properties"; - rev = "c2353362d570a7bfa228149c62842019201cfb71"; - sha256 = "1a10362wv8a8qwb818wygn2z48lgzch940hvpv81hv8gc747ajxn"; - }; - } - { - goPackagePath = "github.com/mattn/go-ieproxy"; - fetch = { - type = "git"; - url = "https://github.com/mattn/go-ieproxy"; - rev = "f9202b1cfdeb0c82ddd3dc1e8e9cd94b3c0c1b13"; - sha256 = "0r8c17znlv32750qy3p96fbyp8ys8xfdccpzv0z9lr2y88jnzhpz"; - }; - } - { - goPackagePath = "github.com/minio/sio"; - fetch = { - type = "git"; - url = "https://github.com/minio/sio"; - rev = "035b4ef8c449ba2ba21ec143c91964e76a1fb68c"; - sha256 = "007mh7a61qzgf8ga4pix6qhm6jbph3h71a8iif7l45mvqphabh0z"; - }; - } - { - goPackagePath = "github.com/mitchellh/mapstructure"; - fetch = { - type = "git"; - url = "https://github.com/mitchellh/mapstructure"; - rev = "3536a929edddb9a5b34bd6861dc4a9647cb459fe"; - sha256 = "03bpv28jz9zhn4947saqwi328ydj7f6g6pf1m2d4m5zdh5jlfkrr"; - }; - } - { - goPackagePath = "github.com/mschoch/smat"; - fetch = { - type = "git"; - url = "https://github.com/mschoch/smat"; - rev = "90eadee771aeab36e8bf796039b8c261bebebe4f"; - sha256 = "141saq6d4z3c7v3jw45zy4gn6wwjlyralqygjff1fzvz1gkvimk3"; - }; - } - { - goPackagePath = "github.com/ncw/swift"; - fetch = { - type = "git"; - url = "https://github.com/ncw/swift"; - rev = "f737f4e00462f79ff2e0ddbcfb09331ce7ec4fa9"; - sha256 = "0c6vcn3dmhh339wilh71fmjb535kgfkf9dh2dh8ln43whq6mbjbs"; - }; - } - { - goPackagePath = "github.com/pelletier/go-toml"; - fetch = { - type = "git"; - url = "https://github.com/pelletier/go-toml"; - rev = "c01d1270ff3e442a8a57cddc1c92dc1138598194"; - sha256 = "1fjzpcjng60mc3a4b2ql5a00d5gah84wj740dabv9kq67mpg8fxy"; - }; - } - { - goPackagePath = "github.com/philhofer/fwd"; - fetch = { - type = "git"; - url = "https://github.com/philhofer/fwd"; - rev = "bb6d471dc95d4fe11e432687f8b70ff496cf3136"; - sha256 = "1pg84khadh79v42y8sjsdgfb54vw2kzv7hpapxkifgj0yvcp30g2"; - }; - } - { - goPackagePath = "github.com/pierrec/lz4"; - fetch = { - type = "git"; - url = "https://github.com/pierrec/lz4"; - rev = "5a3d2245f97fc249850e7802e3c01fad02a1c316"; - sha256 = "1my03x0m72vc2xyy9h0naa1qrzcf0g531gh4cakcwpxrq0z39vmr"; - }; - } - { - goPackagePath = "github.com/pierrec/xxHash"; - fetch = { - type = "git"; - url = "https://github.com/pierrec/xxHash"; - rev = "d17cb990ad2d219d5901415ceaeb50d17df59527"; - sha256 = "07rbr0apyq9m8m2knf1j7g9299r2v2ihvig1b7bq2srszcd9r221"; - }; - } - { - goPackagePath = "github.com/pkg/errors"; - fetch = { - type = "git"; - url = "https://github.com/pkg/errors"; - rev = "ba968bfe8b2f7e042a574c888954fccecfa385b4"; - sha256 = "0g5qcb4d4fd96midz0zdk8b9kz8xkzwfa8kr1cliqbg8sxsy5vd1"; - }; - } - { - goPackagePath = "github.com/pmezard/go-difflib"; - fetch = { - type = "git"; - url = "https://github.com/pmezard/go-difflib"; - rev = "792786c7400a136282c1664665ae0a8db921c6c2"; - sha256 = "0c1cn55m4rypmscgf0rrb88pn58j3ysvc2d0432dp3c6fqg6cnzw"; - }; - } - { - goPackagePath = "github.com/spf13/afero"; - fetch = { - type = "git"; - url = "https://github.com/spf13/afero"; - rev = "f4711e4db9e9a1d3887343acb72b2bbfc2f686f5"; - sha256 = "14qqj0cz6a595vn4dp747vddx05fd77jdsyl85qjmf9baymaxlam"; - }; - } - { - goPackagePath = "github.com/spf13/cast"; - fetch = { - type = "git"; - url = "https://github.com/spf13/cast"; - rev = "8c9545af88b134710ab1cd196795e7f2388358d7"; - sha256 = "0xq1ffqj8y8h7dcnm0m9lfrh0ga7pssnn2c1dnr09chqbpn4bdc5"; - }; - } - { - goPackagePath = "github.com/spf13/cobra"; - fetch = { - type = "git"; - url = "https://github.com/spf13/cobra"; - rev = "ef82de70bb3f60c65fb8eebacbb2d122ef517385"; - sha256 = "1q1nsx05svyv9fv3fy6xv6gs9ffimkyzsfm49flvl3wnvf1ncrkd"; - }; - } - { - goPackagePath = "github.com/spf13/jwalterweatherman"; - fetch = { - type = "git"; - url = "https://github.com/spf13/jwalterweatherman"; - rev = "94f6ae3ed3bceceafa716478c5fbf8d29ca601a1"; - sha256 = "1ywmkwci5zyd88ijym6f30fj5c0k2yayxarkmnazf5ybljv50q7b"; - }; - } - { - goPackagePath = "github.com/spf13/pflag"; - fetch = { - type = "git"; - url = "https://github.com/spf13/pflag"; - rev = "298182f68c66c05229eb03ac171abe6e309ee79a"; - sha256 = "1cj3cjm7d3zk0mf1xdybh0jywkbbw7a6yr3y22x9sis31scprswd"; - }; - } - { - goPackagePath = "github.com/spf13/viper"; - fetch = { - type = "git"; - url = "https://github.com/spf13/viper"; - rev = "6d33b5a963d922d182c91e8a1c88d81fd150cfd4"; - sha256 = "1190mg04718r03qriav99sf4kx2n7wdgr8vdni15f74bpbzrdjrl"; - }; - } - { - goPackagePath = "github.com/stretchr/testify"; - fetch = { - type = "git"; - url = "https://github.com/stretchr/testify"; - rev = "221dbe5ed46703ee255b1da0dec05086f5035f62"; - sha256 = "187i5g88sxfy4vxpm7dw1gwv29pa2qaq475lxrdh5livh69wqfjb"; - }; - } - { - goPackagePath = "github.com/tinsane/tracelog"; - fetch = { - type = "git"; - url = "https://github.com/tinsane/tracelog"; - rev = "05cb843fbac1d7693bbbbed8aa8f7b401438ee7f"; - sha256 = "1fry633qi4iih9za91m90c26p3qpd79d716dggk10cbc0bpvql51"; - }; - } - { - goPackagePath = "github.com/tinylib/msgp"; - fetch = { - type = "git"; - url = "https://github.com/tinylib/msgp"; - rev = "b2b6a672cf1e5b90748f79b8b81fc8c5cf0571a1"; - sha256 = "0pypfknghg1hcjjrqz3f1riaylk6hcxn9h0qyzynb74rp0qmlxjc"; - }; - } - { - goPackagePath = "github.com/ulikunitz/xz"; - fetch = { - type = "git"; - url = "https://github.com/ulikunitz/xz"; - rev = "590df8077fbcb06ad62d7714da06c00e5dd2316d"; - sha256 = "07mivr4aiw3b8qzwajsxyjlpbkf3my4xx23lv0yryc4pciam5lhy"; - }; - } - { - goPackagePath = "github.com/wal-g/storages"; - fetch = { - type = "git"; - url = "https://github.com/wal-g/storages"; - rev = "ecd376af8972cd9c3e355831c126a7862de6b2b9"; - sha256 = "1jmvin93811xxh9sqf6xrrys55dm12zszqf2l3arf92h0bysvniy"; - }; - } - { - goPackagePath = "github.com/willf/bitset"; - fetch = { - type = "git"; - url = "https://github.com/willf/bitset"; - rev = "e553b05586428962bf7058d1044519d87ca72d74"; - sha256 = "0davmxzv79qqg7lkj89diqinqx3xkr94d67yfnazqn3h1f7sjkd1"; - }; - } - { - goPackagePath = "go.opencensus.io"; - fetch = { - type = "git"; - url = "https://github.com/census-instrumentation/opencensus-go"; - rev = "9c377598961b706d1542bd2d84d538b5094d596e"; - sha256 = "05jr8gkr2w34i5wwki4zhl5ch0qrgi7cdgag5iy5gpxplhbrvbg9"; - }; - } - { - goPackagePath = "golang.org/x/crypto"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/crypto"; - rev = "e657309f52e71501f9934566ac06dc5c2f7f11a1"; - sha256 = "17jwkjrfj7kz25z8z492xlz88nmb42kpjcxl9dsv5jl28zvzq1bj"; - }; - } - { - goPackagePath = "golang.org/x/exp"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/exp"; - rev = "ec7cb31e5a562f5e9e31b300128d2f530f55d127"; - sha256 = "19b4kdwfahq9f809v4lmn9h47sq1y67nkl7csnracn5qd334hp06"; - }; - } - { - goPackagePath = "golang.org/x/lint"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/lint"; - rev = "959b441ac422379a43da2230f62be024250818b0"; - sha256 = "1mgcv5f00pkzsbwnq2y7vqvd1b4lr5a3s47cphh2qv4indfk7pck"; - }; - } - { - goPackagePath = "golang.org/x/net"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/net"; - rev = "74dc4d7220e7acc4e100824340f3e66577424772"; - sha256 = "0563yswwqknxx2gsvl0qikn0lmwalilbng8i12iw4d3v40n23s0l"; - }; - } - { - goPackagePath = "golang.org/x/oauth2"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/oauth2"; - rev = "0f29369cfe4552d0e4bcddc57cc75f4d7e672a33"; - sha256 = "06jwpvx0x2gjn2y959drbcir5kd7vg87k0r1216abk6rrdzzrzi2"; - }; - } - { - goPackagePath = "golang.org/x/sync"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/sync"; - rev = "42b317875d0fa942474b76e1b46a6060d720ae6e"; - sha256 = "0mrjhk7al7yyh76x9flvxy4jm5jyqh2fxbxagpaazxn1xdgkaif3"; - }; - } - { - goPackagePath = "golang.org/x/sys"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/sys"; - rev = "4d1cda033e0619309c606fc686de3adcf599539e"; - sha256 = "1wgaldbnkmh568v8kkgvnmkskaj96fqrbzhx23yji2kh1432q6gh"; - }; - } - { - goPackagePath = "golang.org/x/text"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/text"; - rev = "f21a4dfb5e38f5895301dc265a8def02365cc3d0"; - sha256 = "0r6x6zjzhr8ksqlpiwm5gdd7s209kwk5p4lw54xjvz10cs3qlq19"; - }; - } - { - goPackagePath = "golang.org/x/time"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/time"; - rev = "85acf8d2951cb2a3bde7632f9ff273ef0379bcbd"; - sha256 = "0yqnxsrarjk4qkda8kcxzmk7y90kkkxzx9iwryzrk7bzs87ky3xc"; - }; - } - { - goPackagePath = "golang.org/x/tools"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/tools"; - rev = "fc82fb2afd64396b05ea9aa0bccd6e8f2257b154"; - sha256 = "1rnpbhhcnv28k63m7biv2rxrmdxhz9q3p35qi0phcq2qhcf78032"; - }; - } - { - goPackagePath = "google.golang.org/api"; - fetch = { - type = "git"; - url = "https://code.googlesource.com/google-api-go-client"; - rev = "feb0267beb8644f5088a03be4d5ec3f8c7020152"; - sha256 = "1lzdzkd2i41v6amxs9jah1q44qbvf1yvm8906jpfjiq6c3ffhqss"; - }; - } - { - goPackagePath = "google.golang.org/appengine"; - fetch = { - type = "git"; - url = "https://github.com/golang/appengine"; - rev = "4a4468ece617fc8205e99368fa2200e9d1fad421"; - sha256 = "13cyhqwmvc2nia4ssdwwdzscq52aj3z9zjikx17wk4kb0j8vr370"; - }; - } - { - goPackagePath = "google.golang.org/genproto"; - fetch = { - type = "git"; - url = "https://github.com/google/go-genproto"; - rev = "24fa4b261c55da65468f2abfdae2b024eef27dfb"; - sha256 = "109zhaqlfd8zkbr1hk6zqbs6vcxfrk64scjwh2nswph05gr0m84d"; - }; - } - { - goPackagePath = "google.golang.org/grpc"; - fetch = { - type = "git"; - url = "https://github.com/grpc/grpc-go"; - rev = "6eaf6f47437a6b4e2153a190160ef39a92c7eceb"; - sha256 = "1cn33r2gclmq2v1ndpf1n5bmhf2qs8mms7ii5cnl6f9ch4r2c4k3"; - }; - } - { - goPackagePath = "gopkg.in/yaml.v2"; - fetch = { - type = "git"; - url = "https://github.com/go-yaml/yaml"; - rev = "51d6538a90f86fe93ac480b35f37b2be17fef232"; - sha256 = "01wj12jzsdqlnidpyjssmj0r4yavlqy7dwrg7adqd8dicjc4ncsa"; - }; - } - { - goPackagePath = "honnef.co/go/tools"; - fetch = { - type = "git"; - url = "https://github.com/dominikh/go-tools"; - rev = "72554cb117ad340748b3093e7108983fd984c9f2"; - sha256 = "1vndpwg797z2gw9h9378iq99aqy7nalqx82lgvcsaqnkypdmppnd"; - }; - } -] \ No newline at end of file diff --git a/pkgs/tools/filesystems/glusterfs/default.nix b/pkgs/tools/filesystems/glusterfs/default.nix index dad032073c0..5cc6e5c156b 100644 --- a/pkgs/tools/filesystems/glusterfs/default.nix +++ b/pkgs/tools/filesystems/glusterfs/default.nix @@ -15,10 +15,10 @@ let # The command # find /nix/store/...-glusterfs-.../ -name '*.py' -executable # can help with finding new Python scripts. - version = "7.3"; + version = "7.4"; name="${baseName}-${version}"; url="https://github.com/gluster/glusterfs/archive/v${version}.tar.gz"; - sha256 = "08g8394vk88cb71z4k5sknld1nsd20f4mk2yyjvdp1hwm97z12pq"; + sha256 = "1f7z1dacnx7pd3ri4nka6851fzhdfandbf94blds8bqfqc1263h6"; }; buildInputs = [ @@ -186,7 +186,7 @@ stdenv.mkDerivation meta = with stdenv.lib; { inherit (s) version; description = "Distributed storage system"; - homepage = https://www.gluster.org; + homepage = "https://www.gluster.org"; license = licenses.lgpl3Plus; # dual licese: choice of lgpl3Plus or gpl2 maintainers = [ maintainers.raskin ]; platforms = with platforms; linux ++ freebsd; diff --git a/pkgs/tools/misc/bat/default.nix b/pkgs/tools/misc/bat/default.nix index d8ac90abf87..bf84502af2b 100644 --- a/pkgs/tools/misc/bat/default.nix +++ b/pkgs/tools/misc/bat/default.nix @@ -4,17 +4,17 @@ rustPlatform.buildRustPackage rec { pname = "bat"; - version = "0.12.1"; + version = "0.13.0"; src = fetchFromGitHub { owner = "sharkdp"; repo = pname; rev = "v${version}"; - sha256 = "1cpa8dal4c27pnbmmrar4vqzcl4h0zf8x1zx1dlf0riavdg9n56y"; + sha256 = "1kaa6ps6v1wk9qs63h116k4pbz7y9mfbfxfbq7g89yjhzkjmh6xc"; fetchSubmodules = true; }; - cargoSha256 = "17xyb84axkn341nd5rm7jza1lrn8wcnl6jirhyv63r5k6mswy39i"; + cargoSha256 = "01l1y124gjh6gf9z1jkbpfzh0w92hrgwvsmqkqdw3a9pa4w5f6yg"; nativeBuildInputs = [ pkgconfig llvmPackages.libclang installShellFiles makeWrapper ]; @@ -23,8 +23,8 @@ rustPlatform.buildRustPackage rec { LIBCLANG_PATH = "${llvmPackages.libclang}/lib"; postInstall = '' - installManPage doc/bat.1 - installShellCompletion assets/completions/bat.fish + installManPage $releaseDir/build/bat-*/out/assets/manual/bat.1 + installShellCompletion $releaseDir/build/bat-*/out/assets/completions/bat.fish ''; # Insert Nix-built `less` into PATH because the system-provided one may be too old to behave as @@ -36,7 +36,7 @@ rustPlatform.buildRustPackage rec { meta = with stdenv.lib; { description = "A cat(1) clone with syntax highlighting and Git integration"; - homepage = https://github.com/sharkdp/bat; + homepage = "https://github.com/sharkdp/bat"; license = with licenses; [ asl20 /* or */ mit ]; maintainers = with maintainers; [ dywedir lilyball ]; platforms = platforms.all; diff --git a/pkgs/tools/misc/dua/default.nix b/pkgs/tools/misc/dua/default.nix index 0b56b03b9de..765c7777f0f 100644 --- a/pkgs/tools/misc/dua/default.nix +++ b/pkgs/tools/misc/dua/default.nix @@ -2,13 +2,13 @@ rustPlatform.buildRustPackage rec { pname = "dua"; - version = "2.3.1"; + version = "2.3.8"; src = fetchFromGitHub { owner = "Byron"; repo = "dua-cli"; rev = "v${version}"; - sha256 = "15pmmjnzjbjlf7np5zf2yahccqx8iw1jlzl3nkhqbs673ns0gjml"; + sha256 = "0ql74z24qp68m3ivzmxdijafrhpwjgs462chrxd021n9nl7mqhss"; # Remove unicode file names which leads to different checksums on HFS+ # vs. other filesystems because of unicode normalisation. extraPostFetch = '' @@ -16,7 +16,7 @@ rustPlatform.buildRustPackage rec { ''; }; - cargoSha256 = "0xqjbhqb08bk40i0rfzfkcl9kp67b8a285ksil4f663nidycmgw3"; + cargoSha256 = "0c0d9qi7v3s7vf1rzd467w56i9zdh8fqqrmkkk6xxyh5xhkqx0kd"; doCheck = false; diff --git a/pkgs/tools/misc/fd/default.nix b/pkgs/tools/misc/fd/default.nix index 3dfe7c80a5a..060e1c3fcdf 100644 --- a/pkgs/tools/misc/fd/default.nix +++ b/pkgs/tools/misc/fd/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "fd"; - version = "7.4.0"; + version = "7.5.0"; src = fetchFromGitHub { owner = "sharkdp"; repo = "fd"; rev = "v${version}"; - sha256 = "108p1p9bxhg4qzwfs6wqcakcvlpqw3w498jkz1vhmg6jp1mbmgdr"; + sha256 = "029xr7l751dy167hfzrd030llkaiy8j585h1d4l6391fgrsvnav7"; }; - cargoSha256 = "1nhlarrl0m6as3j2547yf1xxjm88qy3v8jgvhd47z3f5s63bb6w5"; + cargoSha256 = "0lq6da2f6xywyhzyyrpph96d8b9vpdzakzipci167g6hhh232b5b"; nativeBuildInputs = [ installShellFiles ]; diff --git a/pkgs/tools/misc/fluent-bit/default.nix b/pkgs/tools/misc/fluent-bit/default.nix index ddc5757bdce..57909debf79 100644 --- a/pkgs/tools/misc/fluent-bit/default.nix +++ b/pkgs/tools/misc/fluent-bit/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "fluent-bit"; - version = "1.3.11"; + version = "1.4.0"; src = fetchFromGitHub { owner = "fluent"; repo = "fluent-bit"; rev = "v${version}"; - sha256 = "0s1j5mrih4zzaxhqhrd01cibp53rbdqfxf0ng64yfjqlqdh4dhkk"; + sha256 = "07p0cy4y2x45kgimg7rjjk9zknmnnsfxdy2vlz6dzaxrslv6c3x6"; }; nativeBuildInputs = [ cmake flex bison ]; diff --git a/pkgs/tools/misc/html-proofer/Gemfile.lock b/pkgs/tools/misc/html-proofer/Gemfile.lock index a998ccb3f63..615eea5a783 100644 --- a/pkgs/tools/misc/html-proofer/Gemfile.lock +++ b/pkgs/tools/misc/html-proofer/Gemfile.lock @@ -6,7 +6,7 @@ GEM ethon (0.12.0) ffi (>= 1.3.0) ffi (1.12.2) - html-proofer (3.15.1) + html-proofer (3.15.2) addressable (~> 2.3) mercenary (~> 0.3) nokogumbo (~> 2.0) @@ -16,7 +16,7 @@ GEM yell (~> 2.0) mercenary (0.4.0) mini_portile2 (2.4.0) - nokogiri (1.10.8) + nokogiri (1.10.9) mini_portile2 (~> 2.4.0) nokogumbo (2.0.2) nokogiri (~> 1.8, >= 1.8.4) diff --git a/pkgs/tools/misc/html-proofer/gemset.nix b/pkgs/tools/misc/html-proofer/gemset.nix index 5ddb6accb94..2e3b3a10b3b 100644 --- a/pkgs/tools/misc/html-proofer/gemset.nix +++ b/pkgs/tools/misc/html-proofer/gemset.nix @@ -37,10 +37,10 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0krd80ga7qcms469l55jbm711a7ph2lmyn88l929nhbxc7sgm81g"; + sha256 = "1b7wx2hnwnml3y10x6yxybl3hy973n2ifq3hbqh0jd72bqs615wp"; type = "gem"; }; - version = "3.15.1"; + version = "3.15.2"; }; mercenary = { groups = ["default"]; @@ -68,10 +68,10 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1yi8j8hwrlc3rg5v3w52gxndmwifyk7m732q9yfbal0qajqbh1h8"; + sha256 = "12j76d0bp608932xkzmfi638c7aqah57l437q8494znzbj610qnm"; type = "gem"; }; - version = "1.10.8"; + version = "1.10.9"; }; nokogumbo = { dependencies = ["nokogiri"]; diff --git a/pkgs/tools/misc/parallel/default.nix b/pkgs/tools/misc/parallel/default.nix index 6c334db1ede..77fdbcf8ac3 100644 --- a/pkgs/tools/misc/parallel/default.nix +++ b/pkgs/tools/misc/parallel/default.nix @@ -1,13 +1,15 @@ { fetchurl, stdenv, perl, makeWrapper, procps }: stdenv.mkDerivation rec { - name = "parallel-20200222"; + name = "parallel-20200322"; src = fetchurl { url = "mirror://gnu/parallel/${name}.tar.bz2"; - sha256 = "077b72h2d191bmsb78fmzcynxj5mi5v3axmwwxz1d1q8xhv756r6"; + sha256 = "0kg95glnfg25i1w7qg2vr5v4671vigsazmz4qdf223l64khq8x10"; }; + outputs = [ "out" "man" ]; + nativeBuildInputs = [ makeWrapper ]; buildInputs = [ perl procps ]; diff --git a/pkgs/tools/misc/rmtrash/default.nix b/pkgs/tools/misc/rmtrash/default.nix new file mode 100644 index 00000000000..073871b0f43 --- /dev/null +++ b/pkgs/tools/misc/rmtrash/default.nix @@ -0,0 +1,39 @@ +{ lib, stdenv, fetchFromGitHub, makeWrapper +, trash-cli, coreutils, which, getopt }: + +stdenv.mkDerivation rec { + pname = "rmtrash"; + version = "1.13"; + + src = fetchFromGitHub { + owner = "PhrozenByte"; + repo = pname; + rev = "v${version}"; + sha256 = "04a9c65wnkq1fj8qhdsdbps88xjbp7rn6p27y25v47kaysvrw01j"; + }; + + dontConfigure = true; + dontBuild = true; + + nativeBuildInputs = [ makeWrapper ]; + + installPhase = '' + for f in rm{,dir}trash; do + install -D ./$f $out/bin/$f + wrapProgram $out/bin/$f \ + --prefix PATH : ${lib.makeBinPath [ trash-cli coreutils which getopt ]} + done + ''; + + meta = with lib; { + homepage = "https://github.com/PhrozenByte/rmtrash"; + description = "trash-put made compatible with GNUs rm and rmdir"; + longDescription = '' + Put files (and directories) in trash using the `trash-put` command in a + way that is, otherwise as `trash-put` itself, compatible to GNUs `rm` + and `rmdir`. + ''; + license = licenses.gpl3Plus; + maintainers = with maintainers; [ peelz ]; + }; +} diff --git a/pkgs/tools/misc/starship/default.nix b/pkgs/tools/misc/starship/default.nix index 9d1fcb89789..152db99660c 100644 --- a/pkgs/tools/misc/starship/default.nix +++ b/pkgs/tools/misc/starship/default.nix @@ -1,25 +1,28 @@ -{ stdenv, fetchFromGitHub, rustPlatform +{ stdenv, fetchFromGitHub, rustPlatform, pkg-config, openssl , libiconv, Security }: rustPlatform.buildRustPackage rec { pname = "starship"; - version = "0.37.0"; + version = "0.38.1"; src = fetchFromGitHub { owner = "starship"; repo = pname; rev = "v${version}"; - sha256 = "17jgb8fp6zarsnl1hm2y24h0xb0w2w6m61k8g3ww3r4fm8yj649v"; + sha256 = "0qp3y2wcpj1r07v1r2y42zrzkl13j0vlinjx05gfmrmapcls41gi"; }; - buildInputs = stdenv.lib.optionals stdenv.isDarwin [ libiconv Security ]; + nativeBuildInputs = stdenv.lib.optionals stdenv.isLinux [ pkg-config ]; + + buildInputs = stdenv.lib.optionals stdenv.isLinux [ openssl ] + ++ stdenv.lib.optionals stdenv.isDarwin [ libiconv Security ]; postPatch = '' substituteInPlace src/utils.rs \ --replace "/bin/echo" "echo" ''; - cargoSha256 = "01qzwk3q1f6pmyqsq5gnczdjm3157ja2zlrahw5bd5vmy929l5gq"; + cargoSha256 = "11492fv2isw2prfcgxq0wrbln1n6xdi9209cifjf25nnw2aq2csn"; checkPhase = "cargo test -- --skip directory::home_directory --skip directory::directory_in_root"; meta = with stdenv.lib; { diff --git a/pkgs/tools/misc/timidity/default.nix b/pkgs/tools/misc/timidity/default.nix index c307c96199f..88c3c7cb425 100644 --- a/pkgs/tools/misc/timidity/default.nix +++ b/pkgs/tools/misc/timidity/default.nix @@ -8,6 +8,8 @@ stdenv.mkDerivation { sha256 = "1xf8n6dqzvi6nr2asags12ijbj1lwk1hgl3s27vm2szib8ww07qn"; }; + patches = [ ./timidity-iA-Oj.patch ]; + nativeBuildInputs = [ pkgconfig ]; buildInputs = [ alsaLib libjack2 ncurses ]; diff --git a/pkgs/tools/misc/timidity/timidity-iA-Oj.patch b/pkgs/tools/misc/timidity/timidity-iA-Oj.patch new file mode 100644 index 00000000000..9bb96413428 --- /dev/null +++ b/pkgs/tools/misc/timidity/timidity-iA-Oj.patch @@ -0,0 +1,14 @@ +Without this timidity -iA -Oj prints "Couldn't start JACK device (`j')" +and does not play MIDI. + +--- a/timidity/jack_a.c ++++ b/timidity/jack_a.c +@@ -513,6 +513,8 @@ static int actl_jack(int request, void *arg) + ringbuf_clear(&ctx->rbuf); + return 0; + ++ case PM_REQ_PLAY_START: ++ return 0; + } + return -1; + } diff --git a/pkgs/tools/misc/wev/default.nix b/pkgs/tools/misc/wev/default.nix index fa69cc4445e..0f033d1c7e2 100644 --- a/pkgs/tools/misc/wev/default.nix +++ b/pkgs/tools/misc/wev/default.nix @@ -1,22 +1,19 @@ { stdenv, fetchurl -, pkg-config, scdoc -, wayland, wayland-protocols, libxkbcommon +, pkg-config, scdoc, wayland +, wayland-protocols, libxkbcommon }: -let - version = "2019-08-11"; - commit = "47d17393473be152cf601272faf5704fff1c3f92"; -in stdenv.mkDerivation { - pname = "wev-unstable"; - inherit version; +stdenv.mkDerivation rec { + pname = "wev"; + version = "1.0.0"; src = fetchurl { - url = "https://git.sr.ht/~sircmpwn/wev/archive/${commit}.tar.gz"; - sha256 = "0a5kvrviz77bf7357gqs2iy7a1bvb3izgkmiv1rdxzzmihd563ga"; + url = "https://git.sr.ht/~sircmpwn/wev/archive/${version}.tar.gz"; + sha256 = "0vlxdkb59v6nb10j28gh1a56sx8jk7ak7liwzv911kpmygnls03g"; }; - nativeBuildInputs = [ pkg-config scdoc ]; - buildInputs = [ wayland wayland-protocols libxkbcommon ]; + nativeBuildInputs = [ pkg-config scdoc wayland ]; + buildInputs = [ wayland-protocols libxkbcommon ]; installFlags = [ "PREFIX=$(out)" ]; @@ -26,7 +23,7 @@ in stdenv.mkDerivation { This is a tool for debugging events on a Wayland window, analagous to the X11 tool xev. ''; - homepage = https://git.sr.ht/~sircmpwn/wev; + homepage = "https://git.sr.ht/~sircmpwn/wev"; license = licenses.mit; platforms = platforms.unix; maintainers = with maintainers; [ primeos ]; diff --git a/pkgs/tools/misc/x11idle/default.nix b/pkgs/tools/misc/x11idle/default.nix index 270b39f8110..ac13f41ef9f 100644 --- a/pkgs/tools/misc/x11idle/default.nix +++ b/pkgs/tools/misc/x11idle/default.nix @@ -1,12 +1,13 @@ -{ stdenv, fetchgit, libXScrnSaver, libX11 }: +{ stdenv, fetchurl, libXScrnSaver, libX11 }: -stdenv.mkDerivation { - name = "x11idle-unstable-2017-07-01"; +stdenv.mkDerivation rec { - src = fetchgit { - url = "git://orgmode.org/org-mode.git"; - rev = "fbd865941f3105f689f78bf053bb3b353b9b8a23"; - sha256 = "0ma3m48f4s38xln0gl1ww9i5x28ij0ipxc94kx5h2931zy7lqzvz"; + version = "9.2.4"; + name = "x11idle-org-${version}"; + + src = fetchurl { + url = "https://code.orgmode.org/bzg/org-mode/raw/release_${version}/contrib/scripts/x11idle.c"; + sha256 = "0fc5g57xd6bmghyl214gcff0ni3idv33i3gkr339kgn1mdjljv5g"; }; buildInputs = [ libXScrnSaver libX11 ]; @@ -15,7 +16,7 @@ stdenv.mkDerivation { installPhase = '' mkdir -p $out/bin - gcc -lXss -lX11 $src/contrib/scripts/x11idle.c -o $out/bin/x11idle + gcc -lXss -lX11 $src -o $out/bin/x11idle ''; meta = with stdenv.lib; { diff --git a/pkgs/tools/misc/youtube-dl/default.nix b/pkgs/tools/misc/youtube-dl/default.nix index 2f875e01f3f..7b58ccfa853 100644 --- a/pkgs/tools/misc/youtube-dl/default.nix +++ b/pkgs/tools/misc/youtube-dl/default.nix @@ -10,7 +10,7 @@ , rtmpSupport ? true , phantomjsSupport ? false , hlsEncryptedSupport ? true -, makeWrapper }: +, installShellFiles, makeWrapper }: buildPythonPackage rec { @@ -18,14 +18,14 @@ buildPythonPackage rec { # The websites youtube-dl deals with are a very moving target. That means that # downloads break constantly. Because of that, updates should always be backported # to the latest stable release. - version = "2020.03.08"; + version = "2020.03.24"; src = fetchurl { url = "https://yt-dl.org/downloads/${version}/${pname}-${version}.tar.gz"; - sha256 = "1xbka14wnalcqkhibfcqw8f5bw1m9b1f44719yifv1jk0614q4bn"; + sha256 = "05l4asakakxn53wrvxn6c03fd80zdizdbj6r2cj8c1ja3sj9i8s5"; }; - nativeBuildInputs = [ makeWrapper ]; + nativeBuildInputs = [ installShellFiles makeWrapper ]; buildInputs = [ zip ] ++ lib.optional generateManPage pandoc; propagatedBuildInputs = lib.optional hlsEncryptedSupport pycryptodome; @@ -46,8 +46,7 @@ buildPythonPackage rec { ]; postInstall = '' - mkdir -p $out/share/zsh/site-functions - cp youtube-dl.zsh $out/share/zsh/site-functions/_youtube-dl + installShellCompletion youtube-dl.zsh ''; # Requires network diff --git a/pkgs/tools/misc/z-lua/default.nix b/pkgs/tools/misc/z-lua/default.nix index 171c86e805b..7d8e760a8d9 100644 --- a/pkgs/tools/misc/z-lua/default.nix +++ b/pkgs/tools/misc/z-lua/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "z-lua"; - version = "1.7.4"; + version = "1.8.4"; src = fetchFromGitHub { owner = "skywind3000"; repo = "z.lua"; - rev = "v${version}"; - sha256 = "0cn38sadcn65pgw6dgr59bnx9hf97011hydmpmfi3kzdqjmarwci"; + rev = version; + sha256 = "1whh2gzxhx4c24mwh5yifnpah56bzb6v7barp727pjw4whpflg1s"; }; dontBuild = true; @@ -27,7 +27,7 @@ stdenv.mkDerivation rec { ''; meta = with stdenv.lib; { - homepage = https://github.com/skywind3000/z.lua; + homepage = "https://github.com/skywind3000/z.lua"; description = "A new cd command that helps you navigate faster by learning your habits"; license = licenses.mit; maintainers = [ maintainers.marsam ]; diff --git a/pkgs/tools/networking/croc/default.nix b/pkgs/tools/networking/croc/default.nix index 70ffe180f15..d7ab830479e 100644 --- a/pkgs/tools/networking/croc/default.nix +++ b/pkgs/tools/networking/croc/default.nix @@ -2,18 +2,16 @@ buildGoModule rec { pname = "croc"; - version = "8.0.3"; - - goPackagePath = "github.com/schollz/croc"; + version = "8.0.4"; src = fetchFromGitHub { owner = "schollz"; repo = pname; rev = "v${version}"; - sha256 = "0jx6yxyxdnv4xzxsyfa7y1gm079rcsjqa1gmkh6bwkmhk6w5h1k9"; + sha256 = "0dc6h102jr5dkg6r3xxma51g702dnyd3d6s5rilwv1fivxn3bj43"; }; - modSha256 = "0d4mm840fjsbcyl98zg6d3i7qp1lmjkx07mh91d56jyf9j082g99"; + modSha256 = "0ng4x9zmwax2vskbcadra4pdkgy1p1prmgkg1bjmh3b8rwsrhr0q"; buildInputs = stdenv.lib.optionals stdenv.isDarwin [ Security ]; diff --git a/pkgs/tools/networking/ngrok-1/default.nix b/pkgs/tools/networking/ngrok-1/default.nix index 19ae72aaac0..6e6d543c439 100644 --- a/pkgs/tools/networking/ngrok-1/default.nix +++ b/pkgs/tools/networking/ngrok-1/default.nix @@ -16,7 +16,7 @@ buildGoPackage rec { goDeps = ./deps.nix; - buildInputs = [ go-bindata ]; + nativeBuildInputs = [ go-bindata ]; preConfigure = '' sed -e '/jteeuwen\/go-bindata/d' \ diff --git a/pkgs/tools/networking/passh/default.nix b/pkgs/tools/networking/passh/default.nix new file mode 100644 index 00000000000..6534d3a1d51 --- /dev/null +++ b/pkgs/tools/networking/passh/default.nix @@ -0,0 +1,25 @@ +{ lib, fetchFromGitHub, stdenv }: +stdenv.mkDerivation rec { + pname = "passh"; + version = "2020-03-18"; + + src = fetchFromGitHub { + owner = "clarkwang"; + repo = pname; + rev = "7112e667fc9e65f41c384f89ff6938d23e86826c"; + sha256 = "1g0rx94vqg36kp46f8v4x6jcmvdk85ds6bkrpayq772hbdm1b5z5"; + }; + + installPhase = '' + mkdir -p $out/bin + cp passh $out/bin + ''; + + meta = with lib; { + homepage = "https://github.com/clarkwang/passh"; + description = "An sshpass alternative for non-interactive ssh auth"; + license = licenses.gpl3; + maintainers = [ maintainers.lovesegfault ]; + platforms = platforms.unix; + }; +} diff --git a/pkgs/tools/networking/pixiecore/default.nix b/pkgs/tools/networking/pixiecore/default.nix new file mode 100644 index 00000000000..bc8ec7da169 --- /dev/null +++ b/pkgs/tools/networking/pixiecore/default.nix @@ -0,0 +1,25 @@ +{ stdenv, buildGoModule, fetchFromGitHub }: + +buildGoModule rec { + pname = "pixiecore"; + version = "2020-03-25"; + rev = "68743c67a60c18c06cd21fd75143e3e069ca3cfc"; + + src = fetchFromGitHub { + owner = "danderson"; + repo = "netboot"; + inherit rev; + sha256 = "14dslmx3gk08h9gqfjw5y27x7d2c6r8ir7mjd7l9ybysagpzr02a"; + }; + + modSha256 = "1waqaglm6f9zy5296z309ppkck2vmydhk9gjnxrgzmhqld5lcq4f"; + subPackages = [ "cmd/pixiecore" ]; + + meta = { + description = "A tool to manage network booting of machines"; + homepage = "https://github.com/danderson/netboot/tree/master/pixiecore"; + license = stdenv.lib.licenses.asl20; + maintainers = with stdenv.lib.maintainers; [ bbigras danderson ]; + platforms = stdenv.lib.platforms.linux; + }; +} diff --git a/pkgs/tools/networking/ubridge/default.nix b/pkgs/tools/networking/ubridge/default.nix index 42839a8ba2f..a7f0993fed6 100644 --- a/pkgs/tools/networking/ubridge/default.nix +++ b/pkgs/tools/networking/ubridge/default.nix @@ -4,13 +4,13 @@ stdenv.mkDerivation rec { pname = "ubridge"; - version = "0.9.16"; + version = "0.9.17"; src = fetchFromGitHub { owner = "GNS3"; repo = "ubridge"; rev = "v${version}"; - sha256 = "1bind7ylgxs743vfdmpdrpp4iamy461bc3i7nxza91kj7hyyjz6h"; + sha256 = "1rwhbagac7msd116qymvlfh9qkz9jf0m8nl9xckwv68f57bhhjwl"; }; postPatch = '' @@ -33,6 +33,7 @@ stdenv.mkDerivation rec { and TAP interfaces is supported. Packet capture is also supported. ''; inherit (src.meta) homepage; + changelog = "https://github.com/GNS3/ubridge/releases/tag/v${version}"; license = licenses.gpl3Plus; platforms = platforms.linux; maintainers = with maintainers; [ primeos ]; diff --git a/pkgs/tools/networking/uget/default.nix b/pkgs/tools/networking/uget/default.nix index b2da1384557..f8c585c3b25 100644 --- a/pkgs/tools/networking/uget/default.nix +++ b/pkgs/tools/networking/uget/default.nix @@ -4,11 +4,11 @@ stdenv.mkDerivation rec { pname = "uget"; - version = "2.2.2"; + version = "2.2.3-1"; src = fetchurl { url = "mirror://sourceforge/urlget/${pname}-${version}.tar.gz"; - sha256 = "1hmzk907blgzc1z6wv4zbzqrwad06zfm1rqc3svh5garxw8z7xsw"; + sha256 = "0jchvgkkphhwp2z7vd4axxr9ns8b6vqc22b2z8a906qm8916wd8i"; }; nativeBuildInputs = [ @@ -42,7 +42,7 @@ stdenv.mkDerivation rec { thinking that it "might be too powerful" because remember power is good and lightweight power is uGet! ''; - homepage = http://www.ugetdm.com; + homepage = "http://www.ugetdm.com"; license = licenses.lgpl21; platforms = platforms.unix; maintainers = with maintainers; [ romildo ]; diff --git a/pkgs/tools/networking/v2ray/default.nix b/pkgs/tools/networking/v2ray/default.nix index 5d1cd4540d7..762d68acdb1 100644 --- a/pkgs/tools/networking/v2ray/default.nix +++ b/pkgs/tools/networking/v2ray/default.nix @@ -3,20 +3,20 @@ , ... } @ args: callPackage ./generic.nix (rec { - version = "4.22.1"; + version = "4.23.0"; src = fetchFromGitHub { owner = "v2ray"; repo = "v2ray-core"; rev = "v${version}"; - sha256 = "0l4rg9galjcm6dzv7sapnim9a02z7pv354mk5mwqndznii6nkr73"; + sha256 = "0if53d1blj1wf572z21dsywx6czbmhs5dpccx5z1knjhh2xgg9lm"; }; assets = { # MIT licensed "geoip.dat" = let - geoipRev = "202001210102"; - geoipSha256 = "1wxhrhrigjqzpy5w8yj7yd9ib245xwhqys2pf9prdknq71piyziz"; + geoipRev = "202003180002"; + geoipSha256 = "08d383phc5qk7glq25nkf1g8za38415x2952phy525ail88afpwl"; in fetchurl { url = "https://github.com/v2ray/geoip/releases/download/${geoipRev}/geoip.dat"; sha256 = geoipSha256; @@ -24,8 +24,8 @@ callPackage ./generic.nix (rec { # MIT licensed "geosite.dat" = let - geositeRev = "202001211332"; - geositeSha256 = "06qlbjxk21lhyh5l3pd8l4m9rdl7sjh2jriz51zihaqx4417f0m7"; + geositeRev = "202003221514"; + geositeSha256 = "1d4gv6714m97i57srrzybnmc4322qv5lypkqbmhcxb8bi4ljpf1m"; in fetchurl { url = "https://github.com/v2ray/domain-list-community/releases/download/${geositeRev}/dlc.dat"; sha256 = geositeSha256; diff --git a/pkgs/tools/package-management/cargo-edit/default.nix b/pkgs/tools/package-management/cargo-edit/default.nix index b15400e576e..2fdf8a99956 100644 --- a/pkgs/tools/package-management/cargo-edit/default.nix +++ b/pkgs/tools/package-management/cargo-edit/default.nix @@ -4,25 +4,27 @@ rustPlatform.buildRustPackage rec { pname = "cargo-edit"; - version = "0.5.0"; + version = "0.6.0"; src = fetchFromGitHub { owner = "killercup"; repo = pname; rev = "v${version}"; - sha256 = "16gpljbzk6cibry9ssnl22xbcsx2cr57mrs3x3n6cfmldbp6bhbr"; + sha256 = "19jnvsbddn52ibjv48jyfss25gg9mmvxzfhbr7s7bqyf3bq68jbm"; }; - cargoSha256 = "1zwkar914zyghky09lgk0s374m5d6yccn0m15bqlgxxyymg4b59y"; + cargoSha256 = "0b06jsilj87rnr1qlarn29hnz0i9p455fdxg6nf6r2fli2xpv1f0"; nativeBuildInputs = [ pkg-config ]; buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [ libiconv darwin.apple_sdk.frameworks.Security ]; + doCheck = false; # integration tests depend on changing cargo config + meta = with lib; { description = "A utility for managing cargo dependencies from the command line"; homepage = https://github.com/killercup/cargo-edit; - license = with licenses; [ mit ]; - maintainers = with maintainers; [ gerschtli jb55 filalex77 ]; + license = with licenses; [ asl20 /* or */ mit ]; + maintainers = with maintainers; [ gerschtli jb55 filalex77 killercup ]; platforms = platforms.all; }; } diff --git a/pkgs/tools/package-management/cargo-outdated/0001-Fix-outdated-Cargo.lock.patch b/pkgs/tools/package-management/cargo-outdated/0001-Fix-outdated-Cargo.lock.patch deleted file mode 100644 index 4b8bc874e67..00000000000 --- a/pkgs/tools/package-management/cargo-outdated/0001-Fix-outdated-Cargo.lock.patch +++ /dev/null @@ -1,25 +0,0 @@ -From fd0ccac1b3d4f78faa4c642dc2a413dfb54200fd Mon Sep 17 00:00:00 2001 -From: Maximilian Bosch <maximilian@mbosch.me> -Date: Wed, 11 Mar 2020 22:27:23 +0100 -Subject: [PATCH] Fix outdated Cargo.lock - ---- - Cargo.lock | 2 +- - 1 file changed, 1 insertion(+), 1 deletion(-) - -diff --git a/Cargo.lock b/Cargo.lock -index 8458954..8083e81 100644 ---- a/Cargo.lock -+++ b/Cargo.lock -@@ -171,7 +171,7 @@ dependencies = [ - - [[package]] - name = "cargo-outdated" --version = "0.9.6" -+version = "0.9.7" - dependencies = [ - "cargo", - "docopt", --- -2.25.0 - diff --git a/pkgs/tools/package-management/cargo-outdated/default.nix b/pkgs/tools/package-management/cargo-outdated/default.nix index 6f8a415c5cb..a87387b3831 100644 --- a/pkgs/tools/package-management/cargo-outdated/default.nix +++ b/pkgs/tools/package-management/cargo-outdated/default.nix @@ -1,22 +1,19 @@ -{ stdenv, fetchFromGitHub, rustPlatform, pkgconfig, openssl, libiconv, curl, darwin }: +{ stdenv, fetchFromGitHub, rustPlatform, pkg-config, openssl, libiconv, curl, darwin }: rustPlatform.buildRustPackage rec { pname = "cargo-outdated"; - version = "0.9.7"; + version = "0.9.8"; src = fetchFromGitHub { owner = "kbknapp"; repo = pname; rev = "v${version}"; - sha256 = "0g91cfja4h9qhpxgnimczjna528ml645iz7hgpwl6yp0742qcal4"; + sha256 = "112yk46yq484zvr8mbj678qsirmyn2ij2h0z359qrhhl7r19icab"; }; - # Can be removed when updating to the next release. - cargoPatches = [ ./0001-Fix-outdated-Cargo.lock.patch ]; + cargoSha256 = "1bjs7lkbamy9za619z31ycqqgrfhvxbgfgpc79ykh4mfwphxzg3n"; - cargoSha256 = "0pr57g41lnn8srcbc11sb15qchf01zwqcb1802xdayj6wlc3g3dy"; - - nativeBuildInputs = [ pkgconfig ]; + nativeBuildInputs = [ pkg-config ]; buildInputs = [ openssl ] ++ stdenv.lib.optionals stdenv.isDarwin [ darwin.apple_sdk.frameworks.Security @@ -26,7 +23,7 @@ rustPlatform.buildRustPackage rec { meta = with stdenv.lib; { description = "A cargo subcommand for displaying when Rust dependencies are out of date"; - homepage = https://github.com/kbknapp/cargo-outdated; + homepage = "https://github.com/kbknapp/cargo-outdated"; license = with licenses; [ asl20 /* or */ mit ]; platforms = platforms.all; maintainers = with maintainers; [ sondr3 ivan ]; diff --git a/pkgs/tools/package-management/cargo-release/default.nix b/pkgs/tools/package-management/cargo-release/default.nix index c85bcf5b66c..145d8aaacc0 100644 --- a/pkgs/tools/package-management/cargo-release/default.nix +++ b/pkgs/tools/package-management/cargo-release/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "cargo-release"; - version = "0.13.1"; + version = "0.13.3"; src = fetchFromGitHub { owner = "sunng87"; repo = "cargo-release"; rev = "v${version}"; - sha256 = "0w4p1v9ya6kai2sy4ic45s1m01ya3hlysxlc8ha698jfvzs8nnld"; + sha256 = "0d9fgmy87xjl9kcmx9crmmg83iyybisg0gfwmnlxz5529slqng5r"; }; - cargoSha256 = "02x268xbxd2nin9y1dm35mkk90vyx16zzp18fi4fwc8kpsdbjpai"; + cargoSha256 = "1a6ac4x51i1rg0bgrxbbdd54gmwldsiv7nn8vi81y20llnshgjk7"; nativeBuildInputs = [ pkg-config ]; buildInputs = [ openssl ] ++ stdenv.lib.optional stdenv.isDarwin Security; diff --git a/pkgs/tools/package-management/home-manager/default.nix b/pkgs/tools/package-management/home-manager/default.nix index 3fb14f99faf..793c7cfae32 100644 --- a/pkgs/tools/package-management/home-manager/default.nix +++ b/pkgs/tools/package-management/home-manager/default.nix @@ -28,12 +28,15 @@ stdenv.mkDerivation rec { --subst-var-by gnused "${gnused}" \ --subst-var-by less "${less}" \ --subst-var-by HOME_MANAGER_PATH '${src}' + + install -D -m755 home-manager/completion.bash \ + "$out/share/bash-completion/completions/home-manager" ''; meta = with stdenv.lib; { description = "A user environment configurator"; maintainers = with maintainers; [ rycee ]; - platforms = platforms.linux; + platforms = platforms.unix; license = licenses.mit; }; diff --git a/pkgs/tools/package-management/morph/default.nix b/pkgs/tools/package-management/morph/default.nix index d0cd7127c50..a1d2f15ad13 100644 --- a/pkgs/tools/package-management/morph/default.nix +++ b/pkgs/tools/package-management/morph/default.nix @@ -14,8 +14,7 @@ buildGoPackage rec { goPackagePath = "github.com/dbcdk/morph"; goDeps = ./deps.nix; - nativeBuildInputs = [ makeWrapper ]; - buildInputs = [ go-bindata ]; + nativeBuildInputs = [ makeWrapper go-bindata ]; buildFlagsArray = '' -ldflags= diff --git a/pkgs/tools/security/keybase/default.nix b/pkgs/tools/security/keybase/default.nix index da4b9c99e20..6f2eaf57701 100644 --- a/pkgs/tools/security/keybase/default.nix +++ b/pkgs/tools/security/keybase/default.nix @@ -6,7 +6,7 @@ buildGoPackage rec { pname = "keybase"; - version = "5.3.0"; + version = "5.3.1"; goPackagePath = "github.com/keybase/client"; subPackages = [ "go/kbnm" "go/keybase" ]; @@ -17,7 +17,7 @@ buildGoPackage rec { owner = "keybase"; repo = "client"; rev = "v${version}"; - sha256 = "0xqqzjlvq9sgjx1jzv0w2ls0365xzfh4iapzqkrqka635xfggwcn"; + sha256 = "1a1h2c8jr4r20w4gyvyrpsslmh69bl8syl3jbr0fcr2kka7vqnzg"; }; patches = [ diff --git a/pkgs/tools/security/keybase/gui.nix b/pkgs/tools/security/keybase/gui.nix index 0573391139b..0a5855b2060 100644 --- a/pkgs/tools/security/keybase/gui.nix +++ b/pkgs/tools/security/keybase/gui.nix @@ -4,16 +4,16 @@ , runtimeShell, gsettings-desktop-schemas }: let - versionSuffix = "20200310205642.4f2689009b"; + versionSuffix = "20200320154633.3e235215b3"; in stdenv.mkDerivation rec { pname = "keybase-gui"; - version = "5.3.0"; # Find latest version from https://prerelease.keybase.io/deb/dists/stable/main/binary-amd64/Packages + version = "5.3.1"; # Find latest version from https://prerelease.keybase.io/deb/dists/stable/main/binary-amd64/Packages src = fetchurl { url = "https://s3.amazonaws.com/prerelease.keybase.io/linux_binaries/deb/keybase_${version + "-" + versionSuffix}_amd64.deb"; - sha256 = "0zasw2dk33k6c6xqsjnyz3b3s1j27vza9alkp0hpvds88mnnmjv1"; + sha256 = "00k1xg27arbqpa836c55fhkxjvjbhjgkf6jqzprjzz76zksfdcx4"; }; nativeBuildInputs = [ diff --git a/pkgs/tools/security/rage/default.nix b/pkgs/tools/security/rage/default.nix index 2bb4e4aeea3..cdba2f2beb0 100644 --- a/pkgs/tools/security/rage/default.nix +++ b/pkgs/tools/security/rage/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "rage"; - version = "0.3.0"; + version = "0.4.0"; src = fetchFromGitHub { owner = "str4d"; repo = pname; rev = "v${version}"; - sha256 = "1lfp9vyrk8880j7p5i73zja9dglvl1lvvh7286rwd1a9gbcj6grb"; + sha256 = "1wwndzy4xxbar9r67z8g7pp0s1xsxk5xaarh4h6hc0kh411zglrq"; }; - cargoSha256 = "0jjzxzdlflzvy39zi8vwx53xiv66v90idllsfvhj9p9lhc5ssi24"; + cargoSha256 = "08njl8irkqkfxj54pz4sx3l9aqb40h10wxb82zza52pqd4zapgn6"; buildInputs = stdenv.lib.optionals stdenv.isDarwin [ Security ]; diff --git a/pkgs/tools/security/tor/default.nix b/pkgs/tools/security/tor/default.nix index 78d5b4441d5..0ef45bd5337 100644 --- a/pkgs/tools/security/tor/default.nix +++ b/pkgs/tools/security/tor/default.nix @@ -15,11 +15,11 @@ stdenv.mkDerivation rec { pname = "tor"; - version = "0.4.2.6"; + version = "0.4.2.7"; src = fetchurl { url = "https://dist.torproject.org/${pname}-${version}.tar.gz"; - sha256 = "1i766s211nrbjvwvkd2375mjsbbc28yrg46564rbx6w46cj10005"; + sha256 = "0v82ngwwmmcb7i9563bgsmrjy6xp83xyhqhaljygd0pkvlsxi886"; }; outputs = [ "out" "geoip" ]; @@ -66,7 +66,7 @@ stdenv.mkDerivation rec { }; meta = with stdenv.lib; { - homepage = https://www.torproject.org/; + homepage = "https://www.torproject.org/"; repositories.git = https://git.torproject.org/git/tor; description = "Anonymizing overlay network"; diff --git a/pkgs/tools/system/ipmiutil/default.nix b/pkgs/tools/system/ipmiutil/default.nix index 0d2a78efba1..17c28b3cd42 100644 --- a/pkgs/tools/system/ipmiutil/default.nix +++ b/pkgs/tools/system/ipmiutil/default.nix @@ -2,12 +2,12 @@ stdenv.mkDerivation rec { baseName = "ipmiutil"; - version = "3.1.5"; + version = "3.1.6"; name = "${baseName}-${version}"; src = fetchurl { url = "mirror://sourceforge/project/${baseName}/${name}.tar.gz"; - sha256 = "18q1nc2caaixy3dr2axdvwq37iz916piarvmg0jdfzaxfpaxpk2q"; + sha256 = "0jlfb4firph3hc0854n7cw7yjwlax3wdxn37r2jl0l94dj684548"; }; buildInputs = [ openssl ]; @@ -22,7 +22,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "An easy-to-use IPMI server management utility"; - homepage = http://ipmiutil.sourceforge.net/; + homepage = "http://ipmiutil.sourceforge.net/"; maintainers = with maintainers; [ raskin ]; platforms = platforms.linux; license = licenses.bsd3; diff --git a/pkgs/tools/system/localtime/default.nix b/pkgs/tools/system/localtime/default.nix index 39e1bc7b6e7..a12d70315d9 100644 --- a/pkgs/tools/system/localtime/default.nix +++ b/pkgs/tools/system/localtime/default.nix @@ -9,13 +9,14 @@ buildGoPackage rec { rev = "2e7b4317c723406bd75b2a1d640219ab9f8090ce"; sha256 = "04fyna8p7q7skzx9fzmncd6gx7x5pwa9jh8a84hpljlvj0kldfs8"; }; + goPackagePath = "github.com/Stebalien/localtime"; - buildInputs = [ m4 ]; + nativeBuildInputs = [ m4 ]; - makeFlags = [ - "PREFIX=${placeholder "out"}" - "BINDIR=${placeholder "bin"}/bin" + makeFlags = [ + "PREFIX=${placeholder "out"}" + "BINDIR=${placeholder "bin"}/bin" ]; buildPhase = '' diff --git a/pkgs/tools/system/netdata/default.nix b/pkgs/tools/system/netdata/default.nix index 9abadd38356..c4001e8c50b 100644 --- a/pkgs/tools/system/netdata/default.nix +++ b/pkgs/tools/system/netdata/default.nix @@ -52,13 +52,17 @@ in stdenv.mkDerivation rec { # rename this plugin so netdata will look for setuid wrapper mv $out/libexec/netdata/plugins.d/apps.plugin \ $out/libexec/netdata/plugins.d/apps.plugin.org + mv $out/libexec/netdata/plugins.d/perf.plugin \ + $out/libexec/netdata/plugins.d/perf.plugin.org + mv $out/libexec/netdata/plugins.d/slabinfo.plugin \ + $out/libexec/netdata/plugins.d/slabinfo.plugin.org ${optionalString withIpmi '' mv $out/libexec/netdata/plugins.d/freeipmi.plugin \ $out/libexec/netdata/plugins.d/freeipmi.plugin.org ''} ''; - preConfigure = optionalString (!stdenv.isDarwin) '' + preConfigure = optionalString (!stdenv.isDarwin) '' substituteInPlace collectors/python.d.plugin/python_modules/third_party/lm_sensors.py \ --replace 'ctypes.util.find_library("sensors")' '"${lm_sensors.out}/lib/libsensors${stdenv.hostPlatform.extensions.sharedLibrary}"' ''; diff --git a/pkgs/top-level/aliases.nix b/pkgs/top-level/aliases.nix index 97e0491a9b2..66fa444e0e7 100644 --- a/pkgs/top-level/aliases.nix +++ b/pkgs/top-level/aliases.nix @@ -235,7 +235,9 @@ mapAliases ({ libintlOrEmpty = stdenv.lib.optional (!stdenv.isLinux || stdenv.hostPlatform.libc != "glibc") gettext; # added 2018-03-14 libjson_rpc_cpp = libjson-rpc-cpp; # added 2017-02-28 liblapackWithoutAtlas = liblapack; # added 2018-11-05 + liblrdf = lrdf; # added 2018-04-25 libqrencode = qrencode; # added 2019-01-01 + librdf = lrdf; # added 2020-03-22 librecad2 = librecad; # backwards compatibility alias, added 2015-10 libsysfs = sysfsutils; # added 2018-04-25 libtidy = html-tidy; # added 2014-12-21 diff --git a/pkgs/top-level/all-packages.nix b/pkgs/top-level/all-packages.nix index 3d259a3b023..bed301ede54 100644 --- a/pkgs/top-level/all-packages.nix +++ b/pkgs/top-level/all-packages.nix @@ -708,8 +708,6 @@ in artyFX = callPackage ../applications/audio/artyFX {}; - as31 = callPackage ../development/compilers/as31 {}; - owl-lisp = callPackage ../development/compilers/owl-lisp {}; ascii = callPackage ../tools/text/ascii { }; @@ -1073,6 +1071,8 @@ in ssh-agents = callPackage ../tools/networking/ssh-agents { }; + ssh-import-id = python3Packages.callPackage ../tools/admin/ssh-import-id { }; + titaniumenv = callPackage ../development/mobile/titaniumenv { }; abootimg = callPackage ../development/mobile/abootimg {}; @@ -2150,6 +2150,8 @@ in pueue = callPackage ../applications/misc/pueue { }; + pixiecore = callPackage ../tools/networking/pixiecore {}; + pyCA = python3Packages.callPackage ../applications/video/pyca {}; pyznap = python3Packages.callPackage ../tools/backup/pyznap {}; @@ -4522,6 +4524,8 @@ in keepalived = callPackage ../tools/networking/keepalived { }; + keeperrl = callPackage ../games/keeperrl { }; + kexectools = callPackage ../os-specific/linux/kexectools { }; keepkey_agent = with python3Packages; toPythonApplication keepkey_agent; @@ -5439,7 +5443,8 @@ in grocy = callPackage ../servers/grocy { }; - nextcloud = callPackage ../servers/nextcloud { }; + inherit (callPackage ../servers/nextcloud {}) + nextcloud17 nextcloud18; nextcloud-client = libsForQt5.callPackage ../applications/networking/nextcloud-client { }; @@ -5809,6 +5814,8 @@ in parted = callPackage ../tools/misc/parted { }; + passh = callPackage ../tools/networking/passh { }; + paulstretch = callPackage ../applications/audio/paulstretch { }; pazi = callPackage ../tools/misc/pazi { }; @@ -6365,6 +6372,8 @@ in rlwrap = callPackage ../tools/misc/rlwrap { }; + rmtrash = callPackage ../tools/misc/rmtrash { }; + rockbox_utility = libsForQt5.callPackage ../tools/misc/rockbox-utility { }; rosegarden = libsForQt5.callPackage ../applications/audio/rosegarden { }; @@ -7678,6 +7687,8 @@ in xfsprogs = callPackage ../tools/filesystems/xfsprogs { }; libxfs = xfsprogs.dev; + xmage = callPackage ../games/xmage { }; + xml2 = callPackage ../tools/text/xml/xml2 { }; xmlformat = callPackage ../tools/text/xml/xmlformat { }; @@ -7774,6 +7785,8 @@ in you-get = python3Packages.callPackage ../tools/misc/you-get { }; + zasm = callPackage ../development/compilers/zasm {}; + zbackup = callPackage ../tools/backup/zbackup {}; zbar = libsForQt5.callPackage ../tools/graphics/zbar { }; @@ -9565,7 +9578,7 @@ in python36Full = python36.override{x11Support=true;}; python37Full = python37.override{x11Support=true;}; python38Full = python38.override{x11Support=true;}; - python39Full = python38.override{x11Support=true;}; + python39Full = python39.override{x11Support=true;}; # pythonPackages further below, but assigned here because they need to be in sync pythonPackages = python.pkgs; @@ -13067,6 +13080,8 @@ in libinput-gestures = callPackage ../tools/inputmethods/libinput-gestures {}; + libinstpatch = callPackage ../development/libraries/audio/libinstpatch { }; + libisofs = callPackage ../development/libraries/libisofs { }; libisoburn = callPackage ../development/libraries/libisoburn { }; @@ -13576,6 +13591,8 @@ in loudmouth = callPackage ../development/libraries/loudmouth { }; + lrdf = callPackage ../development/libraries/lrdf { }; + luabind = callPackage ../development/libraries/luabind { lua = lua5_1; }; luabind_luajit = luabind.override { lua = luajit; }; @@ -13797,6 +13814,8 @@ in nvidia-video-sdk = callPackage ../development/libraries/nvidia-video-sdk { }; + nvidia-optical-flow-sdk = callPackage ../development/libraries/nvidia-optical-flow-sdk { }; + nvtop = callPackage ../tools/system/nvtop { nvidia_x11 = linuxPackages.nvidia_x11.override { libsOnly = true; }; }; @@ -14335,9 +14354,6 @@ in librdf_redland = callPackage ../development/libraries/librdf/redland.nix { }; redland = librdf_redland; # added 2018-04-25 - librdf = callPackage ../development/libraries/librdf { }; - liblrdf = librdf; # added 2018-04-25 - libsmf = callPackage ../development/libraries/audio/libsmf { }; lilv = callPackage ../development/libraries/audio/lilv { }; @@ -19441,6 +19457,8 @@ in ++ lib.optionals stdenv.isLinux [ gr-gsm gr-limesdr ]; }; + grandorgue = callPackage ../applications/audio/grandorgue { }; + gr-nacl = callPackage ../applications/radio/gnuradio/nacl.nix { }; gr-gsm = callPackage ../applications/radio/gnuradio/gsm.nix { }; @@ -19922,6 +19940,8 @@ in herbstluftwm = callPackage ../applications/window-managers/herbstluftwm { }; + hercules = callPackage ../applications/virtualization/hercules { }; + hexchat = callPackage ../applications/networking/irc/hexchat { }; hexcurse = callPackage ../applications/editors/hexcurse { }; @@ -21221,10 +21241,7 @@ in opentimestamps-client = python3Packages.callPackage ../tools/misc/opentimestamps-client {}; - opentx = callPackage ../applications/misc/opentx { - gcc-arm-embedded = pkgsCross.arm-embedded.buildPackages.gcc; - binutils-arm-embedded = pkgsCross.arm-embedded.buildPackages.binutils; - }; + opentx = libsForQt5.callPackage ../applications/misc/opentx { }; opera = callPackage ../applications/networking/browsers/opera {}; @@ -22026,7 +22043,8 @@ in stp = callPackage ../applications/science/logic/stp { }; stretchly = callPackage ../applications/misc/stretchly { - inherit (gnome2) GConf; + # Error on launch w/electron_8 + electron = electron_7; }; stumpish = callPackage ../applications/window-managers/stumpish {}; @@ -23228,6 +23246,8 @@ in sumokoin = callPackage ../applications/blockchains/sumokoin.nix { boost = boost165; }; + tessera = callPackage ../applications/blockchains/tessera.nix { }; + vertcoin = libsForQt5.callPackage ../applications/blockchains/vertcoin.nix { boost = boost165; withGui = true; }; vertcoind = callPackage ../applications/blockchains/vertcoin.nix { boost = boost165; withGui = false; }; @@ -25138,7 +25158,9 @@ in hepmc2 = callPackage ../development/libraries/physics/hepmc2 { }; - hepmc3 = callPackage ../development/libraries/physics/hepmc3 { }; + hepmc3 = callPackage ../development/libraries/physics/hepmc3 { + python = null; + }; herwig = callPackage ../development/libraries/physics/herwig { }; @@ -25994,7 +26016,6 @@ in terraform-providers = recurseIntoAttrs ( callPackage ../applications/networking/cluster/terraform-providers { - inherit buildGo112Module; inherit (darwin.apple_sdk.frameworks) Security; } ); @@ -26401,7 +26422,9 @@ in dsniff = callPackage ../tools/networking/dsniff {}; - wal-g = callPackage ../tools/backup/wal-g {}; + wal-g = callPackage ../tools/backup/wal-g { + inherit (darwin.apple_sdk.frameworks) Security; + }; tlwg = callPackage ../data/fonts/tlwg { }; diff --git a/pkgs/top-level/make-tarball.nix b/pkgs/top-level/make-tarball.nix index ff0b0568819..23542e4afe0 100644 --- a/pkgs/top-level/make-tarball.nix +++ b/pkgs/top-level/make-tarball.nix @@ -22,7 +22,7 @@ releaseTools.sourceTarball { then builtins.substring 0 8 nixpkgs.lastModified else toString nixpkgs.revCount}.${nixpkgs.shortRev or "dirty"}"; - buildInputs = [ nix.out jq lib-tests ]; + buildInputs = [ nix.out jq lib-tests pkgs.brotli ]; configurePhase = '' eval "$preConfigure" @@ -38,6 +38,8 @@ releaseTools.sourceTarball { doCheck = true; checkPhase = '' + set -o pipefail + export NIX_DB_DIR=$TMPDIR export NIX_STATE_DIR=$TMPDIR export NIX_PATH=nixpkgs=$TMPDIR/barf.nix @@ -83,12 +85,10 @@ releaseTools.sourceTarball { --show-trace --argstr system "$platform" \ -qa --drv-path --system-filter \* --system --meta --xml \ "''${opts[@]}" > /dev/null - stopNest done header "checking eval-release.nix" nix-instantiate --eval --strict --show-trace ./maintainers/scripts/eval-release.nix > /dev/null - stopNest header "checking find-tarballs.nix" nix-instantiate --readonly-mode --eval --strict --show-trace --json \ @@ -100,7 +100,16 @@ releaseTools.sourceTarball { echo "suspiciously low number of URLs" exit 1 fi - stopNest + + header "generating packages.json" + mkdir -p $out/nix-support + echo -n '{"version":2,"packages":' > tmp + nix-env -f . -I nixpkgs=${src} -qa --json --arg config 'import ${./packages-config.nix}' "''${opts[@]}" >> tmp + echo -n '}' >> tmp + packages=$out/packages.json.br + jq -c < tmp | brotli -9 > $packages + + echo "file json-br $packages" >> $out/nix-support/hydra-build-products ''; distPhase = '' diff --git a/pkgs/top-level/ocaml-packages.nix b/pkgs/top-level/ocaml-packages.nix index e8a6bc21035..7e12a3db3f7 100644 --- a/pkgs/top-level/ocaml-packages.nix +++ b/pkgs/top-level/ocaml-packages.nix @@ -16,8 +16,6 @@ let buildDunePackage = callPackage ../build-support/ocaml/dune.nix {}; - buildDune2Package = buildDunePackage.override { dune = dune_2; }; - alcotest = callPackage ../development/ocaml-modules/alcotest {}; alcotest-lwt = callPackage ../development/ocaml-modules/alcotest/lwt.nix {}; @@ -232,9 +230,9 @@ let dune_2 = callPackage ../development/tools/ocaml/dune/2.nix { }; - dune-configurator = callPackage ../development/ocaml-modules/dune-configurator { buildDunePackage = buildDune2Package; }; + dune-configurator = callPackage ../development/ocaml-modules/dune-configurator { }; - dune-private-libs = callPackage ../development/ocaml-modules/dune-private-libs { buildDunePackage = buildDune2Package; }; + dune-private-libs = callPackage ../development/ocaml-modules/dune-private-libs { }; earley = callPackage ../development/ocaml-modules/earley { }; @@ -349,6 +347,11 @@ let gmetadom = callPackage ../development/ocaml-modules/gmetadom { }; + graphics = + if lib.versionOlder "4.09" ocaml.version + then callPackage ../development/ocaml-modules/graphics { } + else null; + graphql = callPackage ../development/ocaml-modules/graphql { }; graphql-cohttp = callPackage ../development/ocaml-modules/graphql/cohttp.nix { }; diff --git a/pkgs/top-level/packages-config.nix b/pkgs/top-level/packages-config.nix new file mode 100644 index 00000000000..8ce855780cf --- /dev/null +++ b/pkgs/top-level/packages-config.nix @@ -0,0 +1,13 @@ +# Used in the generation of package search database. +{ + # Ensures no aliases are in the results. + allowAliases = false; + + # Enable recursion into attribute sets that nix-env normally doesn't look into + # so that we can get a more complete picture of the available packages for the + # purposes of the index. + packageOverrides = super: { + haskellPackages = super.recurseIntoAttrs super.haskellPackages; + rPackages = super.recurseIntoAttrs super.rPackages; + }; +} diff --git a/pkgs/top-level/perl-packages.nix b/pkgs/top-level/perl-packages.nix index 6d2e98255fb..3bf345762b3 100644 --- a/pkgs/top-level/perl-packages.nix +++ b/pkgs/top-level/perl-packages.nix @@ -12270,6 +12270,23 @@ let }; }; + MojoPg = buildPerlPackage { + pname = "Mojo-Pg"; + version = "4.18"; + src = fetchurl { + url = "mirror://cpan/authors/id/S/SR/SRI/Mojo-Pg-4.18.tar.gz"; + sha256 = "31baacc0d6693886b3580e4b3ec6f2d053be8578809c9c1750753576bd1bda3c"; + }; + buildInputs = [ TestDeep ]; + propagatedBuildInputs = [ DBDPg Mojolicious SQLAbstract ]; + meta = { + homepage = "https://github.com/mojolicious/mojo-pg"; + description = "Mojolicious <3 PostgreSQL"; + license = stdenv.lib.licenses.artistic2; + maintainers = [ maintainers.sgo ]; + }; + }; + MonitoringPlugin = buildPerlPackage { pname = "Monitoring-Plugin"; version = "0.40"; @@ -14586,6 +14603,19 @@ let }; }; + ParseYapp = buildPerlPackage { + pname = "Parser-Yapp"; + version = "1.21"; + src = fetchurl { + url = mirror://cpan/authors/id/W/WB/WBRASWELL/Parse-Yapp-1.21.tar.gz; + sha256 = "1r8kbyk0qd4ficmabj753kjpq0ib0csk01169w7jxflg62cfj41q"; + }; + meta = { + description = "Perl extension for generating and using LALR parsers"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + }; + }; + PathClass = buildPerlModule { pname = "Path-Class"; version = "0.37"; diff --git a/pkgs/top-level/python-packages.nix b/pkgs/top-level/python-packages.nix index 8833c1b9b7c..8d3505a1686 100644 --- a/pkgs/top-level/python-packages.nix +++ b/pkgs/top-level/python-packages.nix @@ -477,6 +477,8 @@ in { backports-shutil-which = callPackage ../development/python-modules/backports-shutil-which {}; + bacpypes = callPackage ../development/python-modules/bacpypes {}; + bap = callPackage ../development/python-modules/bap { bap = pkgs.ocaml-ng.ocamlPackages_4_06.bap; }; @@ -1290,6 +1292,8 @@ in { pytest-tornado = callPackage ../development/python-modules/pytest-tornado { }; + pytest-twisted = callPackage ../development/python-modules/pytest-twisted { }; + pytest-xprocess = callPackage ../development/python-modules/pytest-xprocess { }; pytest-xvfb = callPackage ../development/python-modules/pytest-xvfb { }; @@ -2256,6 +2260,10 @@ in { jsonrpc-websocket = callPackage ../development/python-modules/jsonrpc-websocket { }; + hepmc3 = toPythonModule (pkgs.hepmc3.override { + inherit python; + }); + onkyo-eiscp = callPackage ../development/python-modules/onkyo-eiscp { }; tablib = callPackage ../development/python-modules/tablib { }; @@ -2347,8 +2355,6 @@ in { pyhcl = callPackage ../development/python-modules/pyhcl { }; - pyhepmc = callPackage ../development/python-modules/pyhepmc { }; - pytest = if isPy3k then self.pytest_5 else self.pytest_4; pytest_5 = callPackage ../development/python-modules/pytest { @@ -2399,6 +2405,10 @@ in { pytest-forked = callPackage ../development/python-modules/pytest-forked { }; + pytest-html = callPackage ../development/python-modules/pytest-html { }; + + pytest-metadata = callPackage ../development/python-modules/pytest-metadata { }; + pytest-rerunfailures = callPackage ../development/python-modules/pytest-rerunfailures { }; pytest-relaxed = callPackage ../development/python-modules/pytest-relaxed { }; @@ -3341,8 +3351,6 @@ in { django_2_2 = callPackage ../development/python-modules/django/2_2.nix { }; - django_1_8 = callPackage ../development/python-modules/django/1_8.nix { }; - django-allauth = callPackage ../development/python-modules/django-allauth { }; django-anymail = callPackage ../development/python-modules/django-anymail {}; @@ -3423,20 +3431,6 @@ in { django_tagging = callPackage ../development/python-modules/django_tagging { }; - django_tagging_0_4_3 = if - self.django.version != "1.8.19" - then throw "django_tagging_0_4_3 should be build with django_1_8" - else (callPackage ../development/python-modules/django_tagging {}).overrideAttrs (attrs: rec { - pname = "django-tagging"; - version = "0.4.3"; - - src = fetchPypi { - inherit pname version; - sha256 = "0617azpmp6jpg3d88v2ir97qrc9aqcs2s9gyvv9bgf2cp55khxhs"; - }; - propagatedBuildInputs = with self; [ django ]; - }); - django_classytags = callPackage ../development/python-modules/django_classytags { }; # This package may need an older version of Django. @@ -4645,6 +4639,8 @@ in { omegaconf = callPackage ../development/python-modules/omegaconf { }; + opuslib = callPackage ../development/python-modules/opuslib { }; + orderedset = callPackage ../development/python-modules/orderedset { }; python-multipart = callPackage ../development/python-modules/python-multipart { }; @@ -5076,6 +5072,8 @@ in { pylint-plugin-utils = callPackage ../development/python-modules/pylint-plugin-utils { }; + pymumble = callPackage ../development/python-modules/pymumble { }; + pyomo = callPackage ../development/python-modules/pyomo { }; pyopencl = callPackage ../development/python-modules/pyopencl { }; @@ -5204,6 +5202,8 @@ in { progressbar33 = callPackage ../development/python-modules/progressbar33 { }; + protego = callPackage ../development/python-modules/protego {}; + ldap = callPackage ../development/python-modules/ldap { inherit (pkgs) openldap cyrus_sasl; }; @@ -6413,8 +6413,6 @@ in { influxgraph = callPackage ../development/python-modules/influxgraph { }; - graphitepager = callPackage ../development/python-modules/graphitepager { }; - pyspotify = callPackage ../development/python-modules/pyspotify { }; pykka = callPackage ../development/python-modules/pykka { }; |