summary refs log tree commit diff
path: root/pkgs/development/compilers
diff options
context:
space:
mode:
authorAustin Seipp <aseipp@pobox.com>2021-01-14 23:52:23 -0600
committerAustin Seipp <aseipp@pobox.com>2021-01-15 10:13:46 -0600
commit51d1125f24af5b57f1d4f8fb49f78c729abf1fa9 (patch)
treeca0e5da81682fe48c41f52569ed8cbb2953339c8 /pkgs/development/compilers
parent5ffd4e1c2ca95caa4fa8457ea40516fce57c47df (diff)
downloadnixpkgs-51d1125f24af5b57f1d4f8fb49f78c729abf1fa9.tar
nixpkgs-51d1125f24af5b57f1d4f8fb49f78c729abf1fa9.tar.gz
nixpkgs-51d1125f24af5b57f1d4f8fb49f78c729abf1fa9.tar.bz2
nixpkgs-51d1125f24af5b57f1d4f8fb49f78c729abf1fa9.tar.lz
nixpkgs-51d1125f24af5b57f1d4f8fb49f78c729abf1fa9.tar.xz
nixpkgs-51d1125f24af5b57f1d4f8fb49f78c729abf1fa9.tar.zst
nixpkgs-51d1125f24af5b57f1d4f8fb49f78c729abf1fa9.zip
ghdl: 0.37 -> unstable-2021.01.14
This unreleased version of GHDL fixes a bunch of bugs. It also contains
a few internal API changes for synthesis support -- required by the GHDL
yosys plugin.

Ideally, we can just remove this when 0.38 comes out.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
Diffstat (limited to 'pkgs/development/compilers')
-rw-r--r--pkgs/development/compilers/ghdl/default.nix16
1 files changed, 9 insertions, 7 deletions
diff --git a/pkgs/development/compilers/ghdl/default.nix b/pkgs/development/compilers/ghdl/default.nix
index 6d1fae33734..6181486f50c 100644
--- a/pkgs/development/compilers/ghdl/default.nix
+++ b/pkgs/development/compilers/ghdl/default.nix
@@ -5,13 +5,15 @@ assert backend == "mcode" || backend == "llvm";
 
 stdenv.mkDerivation rec {
   pname = "ghdl-${backend}";
-  version = "0.37";
+  # NOTE(aseipp): move to 0.38 when it comes out, since it should support a stable
+  # version of the yosys plugin
+  version = "unstable-2021.01.14";
 
   src = fetchFromGitHub {
-    owner = "ghdl";
-    repo = "ghdl";
-    rev = "v${version}";
-    sha256 = "0b53yl4im33c1cd4mdyc4ks9cmrpixym17gzchfmplrl22w3l17y";
+    owner  = "ghdl";
+    repo   = "ghdl";
+    rev    = "4868294436574660552ccef50a5b0849559393de";
+    sha256 = "1wqjf0qc66dam1n2mskmlvj53bcsdwwk5rq9gimq6ah1vcwi222p";
   };
 
   LIBRARY_PATH = "${stdenv.cc.libc}/lib";
@@ -23,7 +25,7 @@ stdenv.mkDerivation rec {
     sed -i 's/check_version 7.0/check_version 7/g' configure
   '';
 
-  configureFlags = lib.optional (backend == "llvm")
+  configureFlags = [ "--enable-synth" ] ++ lib.optional (backend == "llvm")
     "--with-llvm-config=${llvm}/bin/llvm-config";
 
   hardeningDisable = [ "format" ];
@@ -33,7 +35,7 @@ stdenv.mkDerivation rec {
   meta = with lib; {
     homepage = "https://github.com/ghdl/ghdl";
     description = "VHDL 2008/93/87 simulator";
-    maintainers = with maintainers; [ lucus16 ];
+    maintainers = with maintainers; [ lucus16 thoughtpolice ];
     platforms = platforms.linux;
     license = licenses.gpl2;
   };